当前位置:文档之家› 北京交通大学14年电信数电期中试题(1)

北京交通大学14年电信数电期中试题(1)

北京交通大学14年电信数电期中试题(1)
北京交通大学14年电信数电期中试题(1)

北京交通大学考试试题(期中)

课程名称:数字电子技术(A)学年学期:2014-2015学年第一学期

课程编号:14L126Q 开课学院:电信学院出题教师:

学生姓名:学号:任课教师:

学生学院:班级:

一、概念题(每空3分,共30分)

1. 一组合逻辑电路输入信号的变化顺序有以下三种情况,当顺序为时,将可能出现竞争冒险。

(A)00→01→11→10;(B)00→11→10→01;(C)00→01→00→10。

2. TTL与非门的灌电流负载发生在输出电平情况下,负载电流越大,则输出电平越。

3.CMOS门电路与TTL门电路相比最大的优点是。

(A)传输速度快;(B)功耗低;(C)功能全;(D)价格低。

4.能实现线与功能的门电路有;能实现总线连接方式的门电路有。

(A)与非门;(B)异或门;(C)三态门;(D)OC门。

5.图1所示电路的逻辑表达F= 。

F

图1

图2

6.图2所示电路的逻辑功能是 。 7.如图所示逻辑电路的表达式F = 。

8.如图所示逻辑电路的表达式F = 。

A 0A 1

二、分析题(共30分)

1.分析图示集成逻辑门电路功能。(10分)

2.分析图示电路的逻辑功能。图中74HC85是比较器,74CH283是加法器。(10分)

3

2

1

3.试分析图示逻辑电路的逻辑功能。(10分)

(a )

S Q (b ) X

CP

Y 三、设计题(共40分) 1.分别用3—8译码器和2—4数据选择器及适当的门电路,实现下面的逻辑表达式。(10分)(10分)

BC AC AB F ++=

2.如图(a )所示电路,当其输入信号如图(b )所示,画出S 和Q 的波形。(10分)

3.电路完整的状态转换图如图所示,说明该电路的逻辑功能,判断电路能否自启动?若不能,请改正,并用D触发器实现该功能。(20分)

《数字电路》期末模拟试题及答案

- 1 - 一、填空题 1. PN 结具有单向导电性。正向偏置时,多子以扩散运动为主,形成正向电流;反向 偏置时,少子漂移运动,形成反向饱电流。 2. 双极型晶体三极管输出特性曲线的三个工作区是放大区、截止区、饱和区。 3. 已知三态与非门输出表达式C AB F ?=,则该三态门当控制信号C 为高电平时, 输出为高阻态。 4. 十进制数211转换成二进制数是(11010011)2;十六进制数是(D3)16。 5. 将若干片中规模集成电路计数器串联后,总的计数容量为每片计数容量的乘积。 6. 若用触发器组成某十一进制加法计数器,需要四个触发器,有五个无效状态。 7. 同步RS 触发器的特性方程为n 1n Q R S Q +=+;约束方程为RS=0 。 8. 下图所示电路中,Y 1 =B A Y 1=;Y 2 = ;Y 3 =AB Y 3= 二、选择题 1. 下列函数中,是最小项表达式形式的是____ c _____。 A. Y=A+BC B. Y=ABC+ACD C. C B A C B A Y +?= D. BC A C B A Y +?= 2. 要实现n 1n Q Q =+,JK 触发器的J 、K 取值应为__d ___。 A . J=0,K=0 B. J=0,K=1 C. J=1,K=0 D. J=1,K=1 3.数值[375]10与下列哪个数相等_b __。 A . [111011101]2 B. [567]8 C. [11101110]BCD D. [1F5]16 4.属于组合逻辑电路的是_____b ______ A . 触发器 B. 全加器 C. 移位寄存器 D. 计数器 5.M 进制计数器状态转换的特点是:设定初态后,每来_c __个计数脉冲CP ,计数器重 新 B 2 B V CC Y 1

北邮数字电路综合实验报告

数字电路综合实验报告 简易智能密码锁 一、实验课题及任务要求 设计并实现一个数字密码锁,密码锁有四位数字密码和一个确认开锁按键,密码输入正确,密码锁打开,密码输入错误进行警示。 基本要求: 1、密码设置:通过键盘进行4 位数字密码设定输入,在数码管上显示所输入数字。通过密码设置确定键(BTN 键)进行锁定。 2、开锁:在闭锁状态下,可以输入密码开锁,且每输入一位密码,在数码管上显示“-”,提示已输入密码的位数。输入四位核对密码后,按“开锁”键,若密码正确则系统开锁,若密码错误系统仍然处于闭锁状态,并用蜂鸣器或led 闪烁报警。 3、在开锁状态下,可以通过密码复位键(BTN 键)来清除密码,恢复初始密码“0000”。闭锁状态下不能清除密码。 4、用点阵显示开锁和闭锁状态。 提高要求: 1、输入密码数字由右向左依次显示,即:每输入一数字显示在最右边的数码管上,同时将先前输入的所有数字向左移动一位。 2、密码锁的密码位数(4~6 位)可调。

3、自拟其它功能。 二、系统设计 2.1系统总体框图 2.2逻辑流程图

2.3MDS图 2.4分块说明 程序主要分为6个模块:键盘模块,数码管模块,点阵模块,报警模块,防抖模块,控制模块。以下进行详细介绍。 1.键盘模块 本模块主要完成是4×4键盘扫描,然后获取其键值,并对其进行编码,从而进行按键的识别,并将相应的按键值进行显示。 键盘扫描的实现过程如下:对于4×4键盘,通常连接为4行、4列,因此要识别按键,只需要知道是哪一行和哪一列即可,为了完成这一识别过程,我们的思想是,首先固定输出高电平,在读入输出的行值时,通常高电平会被低电平拉低,当当前位置为高电平“1”时,没有按键按下,否则,如果读入的4行有一位为低电平,那么对应的该行肯定有一个按键按下,这样便可以获取到按键的行值。同理,获取列值也是如此,先输出4列为高电平,然后在输出4行为低电平,再读入列值,如果其中有哪一位为低电平,那么肯定对应的那一列有按键按下。由此可确定按键位置。

北交大网络教育公路工程机械化施工作业1

绪论 1、公路施工机械主要分哪几类,各是什么,每种类型的代表机械名称? 答:按我国对施工机械的分类主要分为(土石方机械)、(压实机械)、(路面机械)、(桥涵机械)、起重机械、桩工机械、钢筋混凝土机械、凿岩机械与风动工具等八大类。 2、何为机械化程度 答:机械所完成的工程量占总工程量的比重。 第一章工程机械基础: 1、单缸四行程柴油机一个工作循环的工作过程包括哪几个行程? 答:由进气、压缩、做功和排气四个行程完成一个工作循环。 2、柴油机的组成包括那几个机构系统? 答:柴油机由机体、曲轴连杆机构、配气机构、燃油系统、润滑系统、冷却系统、启动系统等组成。 3、润滑系的主要作用是什么? 答:不断地向发动机的各零件摩擦表面输送清洁的机油,以减少零件的摩擦阻力和磨损;流动的机油还能带走机件摩擦产生的热量和磨损磨落下来的金属屑,以防止机件温度升高,破坏配合间隙而造成不良后果,同时也防止了零件的磨料磨损;由于润滑粘度和吸附作用的存在而形成油膜,因此机油能起密封作用。 4、冷却系分为哪两种? 答:风冷系和水冷系。 5、什么是有效扭矩、有效功率、油耗率? 答:发动机通过飞轮对外输出的扭矩称为有效扭矩,有效功率:发动机机轴上所净输出的功率。是发动机扣除本身机械摩擦损失和带动其他辅机的外部损耗后向外有效输出的功率。油耗率:每小时喷入发动机的燃油质量与发动机推力之比。 6、底盘包括哪几个系统,各是什么? 答:汽车底盘由传动系、行驶系、转向系和制动系四部分组成。汽车传动系,包括离合器、变速器、自动变速器、万向传动装置、驱动桥等。汽车转向系,包括转向器、转向操纵机构、转向传动机构、动力转向装置等。汽车制动系,包

河北工业大学数电期中考试试卷

河北工业大学城市学院期中模拟试卷 2016年(春)季学期 (a) (b) (c) 7、使用TTL与非门时下列做法中错误的是 A.输入端并联作非门使用; B.输出端并联作线与; 共 5 页第 1 页

2016年(春)季学期 学院名称:班级:姓名:学号:适用专业:课程名称:电子技术基础A 共 5 页第 2 页

2016 年(春)季学期 学院名称: 班级: 姓名: 学号: 适用专业: 课程名称: 电子技术基础A 电平有效;图5(b )所示是各输入信号波形。试画出输出端F 的波形。 A B C (a) (b ) 4、试设计一个判别8421BCD 码中奇数的电路,其中十以上的二进制码为无关项。要求: (1)列出状态表; (2)画出卡诺图;(3)写出最简与或表达式;(4)用与非门实现之,画出逻辑电路图。 5、某自动车床上共有4台电动机,A 为润滑油泵电机,B 为主轴电机,C 为X 坐标进给电机,D 为Y 坐标进给电机。控制要求在下列工况之一时绿色指示灯亮,否则红色指示灯亮: (1)在任何工况下油泵必须工作; (2)主轴不开机时:①调整刀架X 坐标位置,②调整刀架Y 坐标位置,③X,Y 坐标同时调整; (3)主轴开机时:①X ,Y 坐标静止等待,②X 坐标单独进给加工,③Y 坐标单独进给加工,④两坐标同时进给加工。 试列出状态真值表,写出逻辑表达式,化简成最简与或表达式,变换成与非形式,画出逻辑图。 共 5 页 第 3 页

2016年(春)季学期 学院名称:班级:姓名:学号:适用专业:课程名称:电子技术基础A 共 5 页第 4 页

(完整版)数字电路期中考试试卷167101

2014—2015学年度《数电》期中考试试卷 班别 姓名: 学号: 题 号 一 二 三 四 五 总 分 得 分 一、 填空题(每空1分,共25分) 1、常用数制有十进制、 、 等。 2、在逻辑代数中,A+1= ;B+B = 。 3、数字电路的基本逻辑关系有 、 、 ,基本逻辑运算有 、 、 。 4、逻辑代数中的变量只有 和 两种取值。 5、(123.75)10= ( )2 6、(1010110010011)2= ( )16 7、(10110)2=( )10 8、数字电路中基本逻辑门是 、 、 。常用的复合门电路有 、 、 、 。 9、与非门实现的逻辑功能为 。异或门实现的逻辑功能是 。 10、如果把两输入与非门的两个输入端连在一起使用,它将成为一个 门。 二、 选择题(每题2分,共20分) 1、逻辑代数中的摩根定律可表示为C B A ??=( )。 A 、C B A ++ B 、A ·B · C C 、A +B +C D 、A +B ·C 2、有10101的二进制代码,表示十进制数为( )。 A 、11 B 、21 C 、25 D 、17 — 3、图中这个电路实现什么功能( ) A 、Y=1 B 、Y=0 C 、Y=A D 、Y= A 4、模拟电路与脉冲电路的不同在于( ) 模拟电路的晶体管多工作在开关状态 脉冲电路的晶体管多工作在饱和状态 模拟电路的晶体管多工作在截止状态 脉冲电路的晶体管多工作在开关状态 ≥1 A Y

5、若逻辑函数L=A+ABC+BC+B C,则L可化简为() A、L=A+BC B、L=A+C C、L=AB+B C D、L=A 6、在何种输入情况下,“或非”运算的结果是逻辑0,不正确的是( ) A.全部输入是0 B.全部输入是1 C.任一输入为0,其他输入为1 D.任一输入为1,其他输入为0。 7、.一位十六进制数可以用多少位二进制数来表示?() A.1 B.2 C.4 D. 16 8、以下表达式中符合逻辑运算法则的是() A.C·C=C2 B.1+1=10 C.0<1 D.A+1=1 9、四位16进制数最大的数是() A.1111 B .7777 C. FFFF D 都不是 10、以下表达式中符合逻辑运算法则的是()

北京交通大学远程教育

北京交通大学远程教育 课程作业 年级: 层次: 专业名称: 课程名称: 作业序号: 学号: 姓名:

作业说明: 1、请下载后对照网络学习资源、光盘、学习导航内的导学、教材等资料学习;有问题在在线答疑处提问; 2、请一定按个人工作室内的本学期教学安排时间段按时提交作业,晚交、不交会影响平时成绩;需要提交的作业内容请查看下载作业处的说明 3、提交作业后,请及时查看我给你的评语及成绩,有疑义请在课程工作室内的在线答疑部分提问;需要重新上传时一定留言,我给你删除原作业后才能上传 4、作业完成提交时请添加附件提交,并且将作业附件正确命名为学号课程名称作业次数

《信号抗干扰技术》习题二 一、填空: *(3-1-1)1、设备的电磁兼容试验按内容包括()和()两方面要求。 (3-2-1)2、信号设备的抗干扰度实验室设备的()测试。目的是测试设备承受各种()能力。 *(3-2-1)3、信号抗扰度试验通过受试设备的端口来施加,这里的端口是指()的特定接口,包括()端口、()端口、()端口和()端口。 (3-3-1)4、射频电磁场辐射抗扰度试验应在()中进行。对于频率较低的辐射电磁场抗扰度试验可在()中进行。 *(3-3-2)5、直接放电有两种形式:()和()。 (3-3-4)6、脉冲磁场有()或()所引起。 (3-3-5)7、电感负载断开时,会在断电出产生()骚扰。 *(3-3-10)8、交流电源谐波的抑制措施主要通过加强()来改善对()的抑制效果。 (4-1-1)9、无绝缘轨道电路按原理分为两类:()和()。 (4-1-2)10、ZPW-2000扩展了载频数量,每个载频频率微调后划分为()和()两种类型。 (4-2-3)11、轨道电路自身的EMC设计是一个系统工作,应包括()、()、()、()软件处理等全面设计。 (4-3-2)12、ZPW-2000轨道电路补偿电容容量主要与()和()有关。 (4-3-3)13、音频FSK轨道电路接收端对信号解调之前,主要采用()和()来对传导性干扰进行防护。 (4-4-3)14、重载条件下牵引电流干扰最严重,而谐波比例与()和()有关。 (5-1-1)15、25HZ相敏轨道电路受电端二元二位继电器具有可靠的频率选择性和()。 (5-2-3)16、25HZ相敏轨道电路产生误动的根源主要来自()。 (5-3-1)17、根据电磁兼容原理,抗干扰的本质是减小(),同时提高()的抗扰度水平。

安徽建筑大学数电期末考试(试卷A)

安 徽 建 筑 大学 试 卷( A 卷) 第 1 页 共 6 页 ( 2014—2015学年第2 学期 ) 适用年级专业:电气、自动化、测控专业 注 :学 生 不 得 在 草 稿 纸 上 答 题,答 题 不 得 超 出 框

( )3.下图所示施密特触发器电路中,它的回差电压等于多少 A.2v B.5v C.4v D.3v ( )4.请判断以下哪个电路不是时序逻辑电路: A.计数器 B.寄存器 C.数据比较器 D.触发器 ( )5.某电路的输入波形 Ui 和输出波形Uo 如下图所示,则该电路为: A.施密特触发器 B.反相器 C.单稳态触发器 D.JK 触发器 ( )6.已知逻辑函数 C B C A AB Y '+'+= 与其相等的函数为: A.AB B. C A AB '+ C.C B AB '+ D.C AB + ( )7.下列触发器中上升沿触发的是( )。 A.主从RS 触发器; B.JK 触发器; C.T 触发器; D.D 触发器 ( )8.下列几种A/D 转换器中,转换速度最快的是。 A.并行A/D 转换器 B.计数型A/D 转换器 C.逐次渐进型A/D 转换器 D.双积分A/D 转换器 ( )9.单稳态触发器的输出脉冲的宽度取决于( ) A .触发脉冲的宽度 B .触发脉冲的幅度 C .电路本身的电容、电阻的参数 D .电源电压的数值 ( )10. 指出下列电路中能够把串行数据变成并行数据的电路是( )。 A .JK 触发器 B .3/8线译码器 C .移位寄存器 D .十进制计数器 三、逻辑函数化简及形式变换:(共15分,每题5分) 1.(代数法化简为最简与或式)CD ACD ABC C A F +++'='1 2.(卡诺图法化简逻辑函数) υ

北京邮电大学数字电路实验报告

北京邮电大学 数字电路与逻辑设计实验 实验报告 实验名称:QuartusII原理图输入 法设计与实现 学院:北京邮电大学 班级: 姓名: 学号:

一.实验名称和实验任务要求 实验名称:QuartusII原理图输入法设计与实现 实验目的:⑴熟悉用QuartusII原理图输入法进行电路设计和仿真。 ⑵掌握QuartusII图形模块单元的生成与调用; ⑶熟悉实验板的使用。 实验任务要求:⑴掌握QuartusII的基础上,利用QuartusII用逻辑 门设计实现一个半加器,生成新的半加器图像模 块。 ⑵用实验内容(1)中生成的半加器模块以及逻辑门 实现一个全加器,仿真验证其功能,并能下载到实 验板上进行测试,要求用拨码开关设定输入信号, 发光二级管显示输出信号。 ⑶用3线—8线译码器(74L138)和逻辑门实现要求 的函数:CBA F+ C + =,仿真验证其 + B C B A A A B C 功能,,并能下载到实验板上进行测试,要求用拨 码开关设定输入信号,发光二级管显示输出信号。二.设计思路和过程 半加器的设计实现过程:⑴半加器的应有两个输入值,两个输出值。 a表示加数,b表示被加数,s表示半加和, co表示向高位的进位。

⑵由数字电路与逻辑设计理论知识可知 b a s ⊕=;b a co ?= 选择两个逻辑门:异或门和与门。a,b 为异 或门和与门的输入,S 为异或门的输出,C 为与门的输出。 (3)利用QuartusII 仿真实现其逻辑功能, 并生成新的半加器图形模块单元。 (4)下载到电路板,并检验是否正确。 全加器的设计实现过程:⑴全加器可以由两个半加器和一个或门构 成。全加器有三个输入值a,b,ci ,两个输 出值s,co :a 为被加数,b 为加数,ci 为低 位向高位的进位。 ⑵全加器的逻辑表达式为: c b a s ⊕⊕= b a ci b a co ?+?⊕=)( ⑶利用全加器的逻辑表达式和半加器的逻 辑功能,实现全加器。 用3线—8线译码器(74L138)和逻辑门设计实现函数 CBA A B C A B C A B C F +++= 设计实现过程:⑴利用QuartusII 选择译码器(74L138)的图形模块

北交大远程教育-软件工程作业

北交大远程教育-软件工程作业 请于10月12日前提交作业,严禁抄袭 软件工程第一次作业 (教材第1、2、3章) (注意:答案请用蓝色字 ) 一(填空题 1( 软件是计算机系统中的程序、数据及其相关文档的总和。(教材第1章,1.1.2) 2( 软件工程方法学包含的三个要素: 方法、工具和过程。 (教材第1章,1.2.3) 3( 目前使用最广泛的软件工程方法学是: 传统方法学和面向对象方法学。(教材第1章, ) 1.2.3 4( 构成软件项目的最终产品: 应用程序、系统程序、面向用户的文档资料和面向开发者 的文档资料。(课件,1.1) 5( 软件生命周期的三个时期: 软件定义、软件开发和运行维护。(教材第1章,1.3) 6( 可行性研究的目的: 确定问题是否值得去解决。(教材第2章,2.1) 7( 一个软件项目要至少要从技术可行性、经济可行性和操作可行性 3个方面研究 其可行性。(教材第2章,2.1) ( 成本效益分析首先是估算将要开发的系统的开发成本,然后与可能取得的效益进行比较和权8 衡。(教材第2章,2.6) 二(选择题

1、随着开发小组人数的( A ),因交流开发进展情况和讨论遇到的问题而造成的通信开销也急剧增加。 A. 增加 B. 降低 C. 稳定 D. 不稳定 2、软件需求分析阶段的工作,可以分为4个方面:对问题的识别、分析与综合、编写需求分析文档以及( B )。 A. 软件的总结 B. 需求分析评审 C. 阶段性报告 D. 以上答案都不正确 3、进行需求分析可使用多种工具,但(C)是不适用的。 A数据流图 B.判定表 C.PAD图 D.数据字典 4、在需求分析之前有必要进行(B)工作 A.程序设计 B.可行性分析 C.ER分析 D.3NF分析 5、软件需求分析阶段建立原型的主要目的是(C ) A.确定系统的性能要求 B.确定系统的运行要求 C.确定系统是否满足用户需要 D.确定系统是否满足开发人员需要 1 三(简答题 1( 什么是软件生命周期模型,试比较瀑布模型、快速原型模型、增量模型和螺旋模型的优缺点,说明每种模型的适用范围。(教材第1章,1.3,1.4) 答: 软件生命周期模型是描述软件开发过程中各种活动如何执行的模型 1,瀑布模型 优点: 1)可强迫开发人员采用规范的方法(例如:结构化技术); 2)严格地规定了每个阶段必须提交的文档; 3)要求每个阶段交出的所有产品都必须经过质量保证小组的仔细验证。缺点:

数电考试试卷

四、(10分)如下图所示为由维持—阻塞边沿D 触发器和主从型J-K 触发器组成的电路。试画出触发器输出端Q 1、Q 2的波形(设触发器初始状态均为0)。 四、(10分) )(Q Q Q Q Q K Q J Q )C (Q D Q 12n 12n 12n 2n 12n 2n 11n ↓=+=+=↑==++CP Q P n n n 五、(15分)如下面左图所示为由八选一数据选择器实现的函数F 。 (1)试写出F 的表达式。 (2)用右边的3-8译码器74LS138及若干个与非门实现函数F 。 五、(15分) )4,3,1,0(),,(11m D B A F D B A BD A D B A D B A D A D B D B A BD A B A D C B A D C B A BCD A D C B A C B A C B A F ∑=+++=+=++=++++?+?=即 表达式4分 3分 3分 表达式7分 逻辑图8分

二、化简逻辑函数(5分) Z F A B C D =(,,,)=m d (,,,,,,)(,)3589111314 015+∑∑。 二、卡诺图如下图所示,Z ABC BCD BCD ABC =+++. (5分) 三、用四位同步二进制计数器CT74161、3线-8线译码器CT74138和少量的与非门设计一个函数发生器,使其产生10110101序列信号。(10分) 三、(共10分) 1、因序列长度S=8,可用CT74161设计一个模8计数器,有效状态为Q D Q C Q B Q A =0000~0111。如采用同步预置法,电路如下图(a)所示,如采用反馈清零(异步)法,电路如图(b)所示。(2分) 3、产生10110101序列码的电路如下所示:(5分) 7 5320Y Y Y Y Y ????=2、用译码器CT74138实现组合输出电路,列真值表如左所示: 故得到组合输出为:(3分) Z= ∑m (0,2,3,5,7)=Y 0+Y 2+Y 3+Y 5+Y 7

数字电路期中试卷(答案)

数字电路期中试卷(答案)

第 2 页共 11 页

第 3 页共 11 页

第 4 页 共 11 页 三、简答题 (每小题5分,共25分) 1、用真值表证明等式:)(B A ⊕⊙A C =⊙)(C B ⊕ 证明:真值表如下: 由上述真值表(的后两列)知:)(B A ⊕⊙A C =⊙)(C B ⊕ 2、用代数法化 简函数: ADCBD D C B C B ABD D ABC L +++?+=)( 解: C B AB A C B A D A C B C AD AC B C B ABD ABC D C B C B ABD ABC D C B C B ABD ABCD D ABC ADCBD D C B C B ABD D ABC L +=+=++=++=++=+++=+++++=+++?+=)()()()()( 3、用卡诺图法化简函数: ∑∑+=)96,3,21()15,1312,111075,0(),,,(,,d ,,,,m D C B A R 解:画出卡诺图并化简,得

第 5 页 共 11 页 D C B C AB B A R +++= 4、分析下列功能表,说明其功能。 解:由功能表知: (1)功能表是4线-2线优先编码器的功能表; (2)此优先编码器有1个输入使能控制端E ,高电平有效; (3)有4个输入端,优先级别从3 I 、2I 、1 I 、0 I 依次降低,且为高电平有效; (4)有1个输出指示端GS ,低电平有效; (5)有2个输出端1Y 、0 Y ,高电平有效,权值分别为2、1。 (6)优先编码器的输出为: 321I I Y +=,3 210I I I Y +=,

《数字电路》期末模拟试题及答案 3

1. 当PN 结外加正向电压时,PN 结中的多子______形成较大的正向电流。 2. NPN 型晶体三极管工作在饱和状态时,其发射结和集电结的外加电压分别处于___ ___偏置和_______偏置。 3. 逻辑变量的异或表达式为:_____________________B A =⊕。 4. 二进制数A=1011010;B=10111,则A -B=_______。 5. 组合电路没有______功能,因此,它是由______组成。 6. 同步RS 触发器的特性方程为:Q n+1 =______,其约束方程为:______。 7. 将BCD 码翻译成十个对应输出信号的电路称为________,它有___个输入 端,____输出端。 8. 下图所示电路中,Y 1 Y 3 =______。 1. 四个触发器组成的环行计数器最多有____个有效状态。 A.4 B. 6 C. 8 D. 16 2. 逻辑函数D C B A F +=,其对偶函数F * 为________。 A .()()D C B A ++ B. ()()D C B A ++ C. ()()D C B A ++ 3. 用8421码表示的十进制数65,可以写成______。 A .65 B. [1000001]BCD C. [01100101]BCD D. [1000001]2 4. 用卡诺图化简逻辑函数时,若每个方格群尽可能选大,则在化简后的最简表达式 中 。 A .与项的个数少 B . 每个与项中含有的变量个数少 C . 化简结果具有唯一性 A 1 A B 3

5. 已知某电路的真值表如下,该电路的逻辑表达式为 。 A .C Y = B . AB C Y = C .C AB Y += D .C C B Y += 化简下列逻辑函数,写出最简与或表达式: 1. 证明等式:AB B A B A B A +?=+ 2. Y 2=Σm (0,1,2,3,4,5,8,10,11,12) 3. Y 3=ABC C AB C B A C B A + ++? 分析设计题: 1.双四选一数据选择器如图所示,其功能表达式如下。现要实现八选一数据选择器的功能(地址信号为 A 2A 1A 0,数据输入端信号为 D 7 ~ D 0 ) ,请画出电路连接图。 1A A A A D Y =(2D Y =( 2.TTL

2016年北邮数电实验报告

数字电路与逻辑设计 实验报告 学院:电子工程学院 班级: 姓名: 学号: 班内序号:

目录 (一)实验名称及实验任务要求 (1) (二)模块端口说明及连接图 (2) 1.1实验三(3)模块端口说明 (2) 1.2实验三(3)连接图 (2) 2.1实验四模块端口说明 (2) 2.2实验四连接图 (2) (三)原理图或VHDL代码 (3) 1.实验一(2)原理图 (3) 2.实验三(3)VHDL代码 (4) 3.实验四VHDL代码 (7) (四)仿真波形 (10) 1.实验一(2)仿真波形 (10) 2.实验三(3)仿真波形 (11) 3.实验四仿真波形 (11) (五)仿真波形分析 (11) 1.实验一(2)仿真波形分析 (11) 2.实验三(3)仿真波形分析 (11) 3.实验四仿真波形分析 (11) (六)故障及问题分析 (12) (七)总结和结论 (13)

(一)实验名称及实验任务要求 实验一 名称:QuartusII原理图输入法设计与实现 实验任务要求:EDA基础实验1(1)、(2)、(3)必做,选做VHDL 实现加法器。 实验二 名称:用VHDL设计与实现组合逻辑电路 实验任务要求:四人表决器、8421码转格雷码、数码管译码器(下载测试)。 实验三 名称:用VHDL设计与实现时序逻辑电路 实验任务要求:分频器、8421十进制计数器、将分频器/8421十进制计数器/数码管译码器3个电路进行连接并下载。 实验四 名称:用VHDL设计与实现相关电路 实验任务要求:数码管动态扫描控制器、点阵扫描控制器。

(二)模块端口说明及连接图 1.1实验三(3)模块端口说明 cp:时钟信号输入; rst:8421十进制计数器异步置位; c[6...0]:七段二极管数码管显示; cat[7...0]:数码管显示。 1.2实验三(3)连接图 2.1实验四模块端口说明 cp:时钟信号输入; rst:8421计数器异步复位; lgt[6...0]:七段二极管数码管显示; cat[7...0]:数码管显示。 2.2实验四连接图

北京交通大学学历继续教育

北京交通大学学历继续教育 专科毕业实习(调研)规范 第一章总则 第一条为进一步规范学历继续教育专科毕业实习(调研)工作,提高毕业实习(调研)质量,结合学校实际,特制订本规范。 第二条毕业实习(调研)是专科培养方案的组成部分,是学生在掌握基本理论知识和技能的基础上,综合运用所学基础理论、基本技能和专业知识,与工作实践相结合,分析和解决问题的综合实践教学环节。 第三条毕业实习(调研)要体现学校人才培养的目标与要求。通过毕业实习(调研),巩固学生的专业意识和科学态度,验证和巩固其所学的专业理论知识,培养学生独立发现并综合应用所学知识分析和解决实际问题的能力。 第二章毕业实习(调研)任务 第四条学生在毕业实习(调研)期间必须独立完成毕业实习报告或毕业调研报告,二者任选其一。 第五条毕业实习报告。经指导教师认可,学生根据专业培养目标自行联系相关单位相关岗位开展实习,若学生现有工作岗位与所学专业相对应可直接在本单位实习。学生通过从事本专业相关的技术工作、业务工作或管理工作,了解本专业业务范围内的工作组织形式、管理方式及技术方法,发现存在的问题与不足,并寻求解决问题的方法与途径。实习结束后,学生独立完成毕业实习报告,总结自己在认识上和业务上的收获、感想、心得体会及合理化建议。 第六条毕业调研报告。经指导教师认可,学生自行选择专业相关机构或社会生活中与专业相关的某一情况、某一事件、某一问题,进行深入细致的调查研究,结合所学专业知识,在实践中调查了解其客观实际情况,根据调查掌握的大量、真实、全面的客观事实和具体数据进行分析、研究,反映问题,寻找规律,揭示本质,总结经验。调研结束后,学生独立完成毕业调研报告,概述调研目的、意义、过程,分析调研数据,总结经验教训,提出合理化建议,解决生产和管理中的实际问题。 第七条毕业实习(调研)报告的撰写应遵守学术道德和学术规范。 第三章毕业实习(调研)过程管理 第八条毕业实习(调研)及撰写报告时间一般安排8—10周,其中,实习或调研时间为5—8周。具体安排以学校每学期发布的专科毕业实习(调研)日程安排为准。 第九条学生在毕业实习(调研)指导教师的指导下,依照学校发布的毕业实习(调研)日程安排表,完成每一项工作。 第四章毕业实习(调研)报告评阅 第十条毕业实习(调研)报告评阅分为指导教师评阅和评阅教师评阅。 第十一条指导教师评阅。在毕业实习(调研)报告完成后,由指导教师对

北邮数电实验报告

北京邮电大学实验报告 实验名称:数字电路与逻辑设计实验报告 学院:信息与通信工程学院 班级: 姓名: 学号: 序号: 日期:

实验三:用VHDL语言设计与实现逻辑电路 一、实验内容 1. 用VHDL语言设计实现一个带异步复位的8421码十进制计数器,仿真验证其功能,并下载到实验版测试。要求用按键设定输入信号,发光二极管显示输出信号; 2.用VHDL语言设计实现一个分频系数为12,分频输出信号占空比为50%的分频器,仿真验证其功能; 3.将(1),(2)和数码管译码器3个电路进行连接,并下载到实验板显示计数结果。 二、模块端口说明及连接图 1.分频器 2. 计数器 clk: 时钟输入信号 clk: 时钟信号输入 clear: 复位信号输入 clear: 复位信号输入 clk_out: 时钟分频后的信号输出 q: 计数器的输出 3.数码管显示 b: 数码管的输入信号 seg: 译码显示输出 onoff: 数码管的输出控制

4.连接图 三、实验分析 1.设计思路 本实验将之前的分频器和计数器以及数码管显示模块组合起来,实现了单个数码管现显示0~9,每隔0.5s切换一次显示内容。 COMPONENT div_12实现了时钟分频,将50MHz的单片机晶振时钟进行分频,输出频率2HZ占空比50%的方波时钟,以此时钟作为内部时钟驱动计数器。 COMPONENT jishuqi是一个十进制计数器,NUM从“0000”到“1001”循环变化,模为10。计数器的输出传递给数码管译码显示电路。 COMPONENT seg7_1是数码管译码显示电路,将收到的信号NUM译码并控制数码管的段锁存来控制数码管的显示。 整体来看,div-12提供了分频后2Hz的时钟,驱动计数器计数,计数的结果作为数码管译码显示模块的输入,根据计数器实时的数进行数码管的显示。综合起来就实现了设计的功能。 在进行电路的连接时,可直接在代码中分成三个进程来实现,也可通过为每个模块建立符号,连接电路图来实现。 2. 具体代码如下: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY fenpinjishu IS PORT( clear2 :IN STD_LOGIC; clk1:IN STD_LOGIC; b1:OUT STD_LOGIC_VECTOR(6 downto 0); CAT:OUT STD_LOGIC_VECTOR(7 downto 0) );

北京交通大学现代远程教育交通类专业《高等数学》(专升本)模拟试题(1)

北京交通大学现代远程教育交通类专业 《高等数学》(专升本)模拟试题(1) (闭卷考试,满分100分,考试时间120分钟) 班级 学号 姓名 1、 函数lg(1lg )y x =-的定义域 ; 2、 若0 tan 3lim sin x arc x x →= ; 3、 设,0, (),0. x e x f x a x x ?<=?+≥?,则当a= 时,使函数)(x f 成为连续函数。 4、 填入一个函数使等式成立:2 ()sec 3d xdx =。 5、 =? 2 1 ln x tdt dx d ; 6、 若22sin()z y x =+, 则dz= ; 7、 以12()x y C C x e =+为通解的二阶线性常系数齐次微分方程是 ; 8、 幂级数(1) 2 1n x n n n ∞∑+=的收敛半径是 . 二、 选择题(每小题3分,共21分) 1、 设函数2 (1)35,f x x x +=++则()f x 等于 ( ) A. 2 (2)x + B.2x C. 23x x ++ D. 2(1) x + 2、 函数)(x f 在点x 0处可导是)(x f 在点x 0处连续的 ( ) A. 充分条件 B.必要条件 C.充分必要条件 D.既不充分, 也不必要条件. 3、设函数,arctan )(2 x x f =则在[-1,1]上满足罗尔定理结论的ξ= ( ) A. 2 1- B. 0 C. 2 1 D. 1 4、若点x 0为函数)(x f 的极值点,则下面命题正确的是 ( )

A.0'()0f x = B.0'()0f x ≠ C.不存在或)('0)('00x f x f = D.0'()f x 不存在 5、设)(x f 的原函数为x 1, 则=')(x f ( ) A. x ln B. x 1 C. 2 1x - D. 3 2x . 6、级数1 2 1(1)ln n n n ∞ -=-∑是 ( ) A. 绝对收敛 B. 条件收敛 C. 发散的 D. 敛散性不定 7、对于微分方程''3'2,x y y y e -++=其特解的一般形式y *为 ( ) A.*x y Ae -= B. *()x y Ax B e -=+ C. *x y Axe -= D. *2x y Ax e -=. 三、计算极限值:??? ??-- →11 1 lim 0x x e x 。 (6分) 四、设由方程e xy e y =+所确定隐函数y=f(x), 求)0('')0('f f 和。(6分) 五、确定函数x e x x f -+=21)(的单调区间,求其最大值。(6分) 六、计算积分、设??? ??≤≤-<≤-+=. 10,1, 01,1)(2 x x x x x f 求?-11)(dx x f 。 (6分) 七、设?????>+≤=. 1,; 1,)(2x b ax x x x f 要使f(x)在x=1处可导,求常数a 和b 的值。(6分) 八、设), (y x x f z =,(其具中f 有二阶连续偏导数),求 2 2 2 2 2 , , y z y x z x z ???????. (6分) 九、计算二 重积分D d σ??,其 D 为圆周,0,y x y ==22 1x y +=在第一象限 所围成的平面闭区域。(6分) 十、将函数2 31)(2 ++= x x x f 展开成)4(+x 的幂级数 .(6分) 十一、求微分方程x xe y y y 39'6''=+-的通解。(7分)

北邮-数电实验报告

北邮-数电实验报告

数字电路实验报告 学院:信息与通信工程 专业:信息工程 班级:2013211125 学号:2013210681 姓名:袁普

②:仿真波形图以及分析 波形图: 波形分析:通过分析ab ci三个输入在8中不同组合下的输出,发现与全加器的真值表吻合,说明实现了全加器的逻辑功能。同时看见波形中出现了毛刺(冒险),这也与事实一致。 ③:故障及问题分析 第一次在做全加器的时候发现找不到已经生成的半加器模块,后来发现是因为在建立工程时这两个项目没有建在同一个文件夹里,在调用的时候就找不到。后来我将全加器工程建在同一个文件夹里解决了此问题。

实验二:用VHDL设计和实现组合逻辑电路 一:实验要求 ①:用VHDL设计一个8421码转换为格雷码的代码转换器,仿真验证其功能。 ②:用VHDL设计一个4位二进制奇校验器,要求在为奇数个1时输出为1,偶数个1时输出为0,仿真验证其功能。 ③:用VHDL设计一个数码管译码器,仿真验证其功能,下载到实验板测试,要求用拨码开关设定输入信号,数码管显示输出信号,并且只使一个数码管有显示,其余为熄灭状态。 二:故障及问题分析 在刚开始实现让一个数码管显示的时候,我本来准备再设置6个输入和输出,通过实验板上的拨码来输入信息分别控制不同的数码管的的开闭状态,但是后来发现这样效率很低而且实验板上的拨码开关数量根本不够。在老师的提醒下,我最终在VHDL里直接增加了一个向量输出”011111”来直接控制cat0~5六个管脚,从而达到了实验的要求。

实验三:用VHDL设计和实现时序逻辑电路 一:实验要求 ①:用VHDL语言设计实现一个8421十进制计数器,要求有高电平复位功能,仿真验证其功能。 ②:用VHDL语言设计实现一个分频系数为12,输出为占空比50%方波的分频器,有高电平复位功能,仿真验证其功能。 ③:将(1),(2)和数码管译码器三个电路进行连接,仿真验证其功能,并下载到实验板进行测试,要求第三个数码管显示数字。二:报告内容 ①实验三(3)模块端口说明及模块代码 模块一:div12为一个有高电平复位功能的分频系数为12的分屏器,其输出是一个占空比50%的方波。此模块输入连接一个时钟输入,即可在输出端得到一个周期更大的方波输出。 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity div12 is port( clear,clk:in std_logic; clk_out:out std_logic ); end div12; architecture struct of div12 is signal temp:integer range 0 to 5; signal clktmp:std_logic; begin process(clk,clear) begin if(clear='1') then

北京交通大学远程与继续教育概率论与数理统计课后习题答案

北京交通大学远程与继续教育学院 概率论与数理统计课后习题答案

第一章 1.(1)、样本空间:50粒种子,样本点:发芽粒数大于40粒;小于40粒;等于40粒。 (2)、样本空间:4个人中选出正、副组长的所有可能情况,样本点:4个人分别当选正组长。 (3)、样本空间:棋赛可能出现的所有可能情况,样本点:平局、1人不败 (4)、样本空间:2棵骰子出现点数搭配可能出现的情况,样本点:点数之和等于5;不等于5 (5)、样本空间:点数之和可能出现的状况,样本点:点数之和大于3且小于8;点数之和小于3;点数之和大于8 (6)、样本空间:10见产品,样本点:将次品查出所抽取的次数(7)、射击次数 (8)、通过指定点的速度 (9)、各段可能出现的长度 2.(1)B?A (2) B?A (3)C?B?A 3.(1)不喜欢唱歌且不是运动员的男生(2)喜欢唱歌不是运动员的男生(3)喜欢唱歌的都是运动员(4)不是运动员的男生都喜欢产唱歌 4.(1)1-100中随机取出的数是小于50且是5的倍数的数(2)1-100中随机取出的数是大于30小于50的数(3)1-100中随机取出的数是大于30小于50且是5的倍数的数(4)1-100中随机取出的数是5的倍数或小于50的数(5)1-100中随机取出的数是小于50且是5

的倍数的数或大于30小于50的数 5.(1)A(2) A B C (3) A B (4) A BC ?A B C ?AB C (5) S-A B C (6)S-A B C -A B C ?A B C ?A B C 6.{}灯亮=ABD ?ACD ?ABCD {}灯不亮=A ?D ?B C 7.P(A)+P(B)=P(A ?B)>P(A)>P(AB) 8.(1)1-0.2*0.15=0.97 (2)0.03 9.1-41 *3+81=8 3 10.(1)、2-X-Y (2)、1-X-Y+Z(3)Y-Z(4)1-X+Y-Z 11.(1)C 36÷C 310=61(2)=C 35÷C 3 10= 12 1 12.55÷A 226 = 130 11 13.8 1 14.(C 110*C 19*C 18*C 1 7)÷(C 110* C 110* C 110* C 110)= 125 63 15.0.6 16.(C 152*C 139*C 126*C 113)÷(C*152*C 151*C 150*C 1 49)=0.105 17.(C 15*C 245)÷C 350=0.253 18.(C m M *C m n M N --)÷C M N 19.C 34÷(C 14* C 14* C 14)= 161, C 24÷(C 14* C 14* C 1 4)=16 3, C 14÷(C 14* C 14* C 14)= 16 1 20.C 19÷(C 112*C 1 11*C 110*C 19)= 1320 1 21.(C 18* C 18* C 17* C 15)÷(C 19* C 19* C 18* C 1 7)=81 40 22.(C 410*C 34*C 23)÷C 917=0.002 23.C 14÷(C 110*C 19* C 18* C 17)= 12601, C 39÷(C 110*C 19* C 18* C 1 7)=1260 21

数字电子技术基础期末考试卷及其详解答案

三明学院2010~2011学年第二学期 《数字电子技术基础》期末考试卷(B) (考试时间:120分钟) 使用班级:09电子信息工程1、2班 学生数:96 任课教师:任雯 考试类型:闭卷 一、选择题(2分×10=20分) 1.数字信号的特点是( D ) A .在时间上和幅值上都是连续的 B .在时间上是离散的,在幅值上是连续的 C .在时间上是连续的,在幅值上是离散的 D .在时间上和幅值上都是不连续的 2.将十六进制数(FD)16转换为二进制数的结果是( B ) A .(11011111)2 B .(11111101)2 C .(11111011)2 D .(11111100)2 3.-0101的原码、反码和补码分别为( A ) A .10101,11010,11011 B .00101,11010,11011 C .10101,11010,11010 D .00101,01010,11011 4.逻辑函数式C AB AB )('+化简后的最简与或表达式为( D ) A .BC A + B .A C .C AB +')( D .C AB + 5.逻辑函数)()(''+'+=E D C B A F 的反函数为 ( C ) A . ))(('+''+E D C B A B . ))(('''+E D C B A C . ))((''+'+'E D C B A D . ))(('''+' E D C B A 6.为实现将JK 触发器转换为D 触发器,应使( A )。 A . D K D J '==, B . D K D J ='=, C . D K J == D .D K J '== 7.一个4位移位寄存器原来的状态为0000,如果串行输入始终为1,则经过4个移位脉冲后寄存器的内容为( D )。 A . 0001 B . 0111 C . 1110 D . 1111 8.石英晶体振荡器的主要优点是( B ) A .电路简单 B .频率稳定度高 C .振荡频率高 D .振荡频率低 9.可以用来自动产生矩形波信号的是( D ) A. 施密特触发器 B. T 触发器 C. 单稳态触发器 D. 多谐振荡器 10.与非门... 构成的SR 锁存器,使输出为“0”态的D S '、D R '端输入为:( C ) A .0='='D D R S B .0='D S ,1='D R C .1='D S ,0='D R D .1='='D D R S 二、填空题(2分×15=30分) 1、 JK 触发器的特性方程为 n n n Q K Q J Q + =+1。 2、 常用的逻辑函数表示方法有逻辑真值表、逻辑函数式、逻辑图、卡诺图、波形图和硬件描述语 言等 3、 半导体存储器从存、取功能上分为 随机存储器 和 只读存储器 。 4、 (10010111)2 = (97)16 = (151)10。 5、 根据逻辑功能的不同特点,可以把数字电路分成两大类,一类叫做组合逻辑电路,另一类叫做 时序逻辑电路。 6、 转换精度和转换速度是衡量A/D 转换器和D/A 转换器性能优劣的主要标志。

相关主题
文本预览
相关文档 最新文档