当前位置:文档之家› 数字万用表设计试验实验报告

数字万用表设计试验实验报告

数字万用表设计试验实验报告
数字万用表设计试验实验报告

实验名称: 数字万用表设计性实验讲义 实验目的:

掌握数字万用表的工作原理、组成和特性

掌握数字万用表的校准方法和使用方法 掌握分压及分流电路的连接和计算

了解整流滤波电路和过压过流保护电路的功用

实验原理:

1数字万用表的组成

2设计组装多量程直流电压表

采用串联电阻分压得原理,将最大电压为200mv 的表头量程扩大.

其中20V 量程缩放比例为

345

12345

100k 0.0110M

R R R R R R R R ++==++++

这样,就扩大了量程.

2设计组装多量程交流电压表

因为是测量交流电压,所以在测量直流电压的基础之上加入AC-DC 整流滤波电路.测量的是交流电压的有效值. 其他测量电路与直流电压测量电路相同

试验记录 实验一

制作多量程直流数字电压表并作校准曲线 实验步骤

1连接小数点与对应量程相连 2连接参考电压 3连接分压电路

4调节电位器,输出150~200 mv 的电压(0.5mV 误差),使组装表与标准表对同一电压显示相同.

校准曲线如下

020406080100120140160180200

-0.10

-0.05

0.00

0.05

0.10

标准表 读数与组装表读数的差 值 m V

组装表读数 mV

交流电 直流电

图(8)AC-DC 变换器原理简图

实验二

制作多量程交流数字电压表并作校准曲线

1采用多量程直流数字电压表,并且加入AC-DC 电路

2调节电位器,输出0~2V 的电压(50mV 误差),使组装表与标准表对同一电压显示相同. 3校准测量,与记录及校准曲线的绘制

校准曲线如下:

接线总结

1先接公共的部分,及表头,小数点部分,再接其他部分;

2接地线时,最好用黑线,就不会出现实验时将地线与有电位的线接在一起. 3先用标准表测量引入电压,再进行试验,

避免烧毁表头.

朱业俊 学号 PB07013077

0.0

0.2

0.4

0.6

0.8

1.0

1.2

1.4

1.6

1.8

2.0

-0.015

-0.010-0.0050.0000.0050.0100.0150.020

0.025标准表

与组装表读数差值 V 标注表读数V

MAS830L_数字万用表装配实验报告

MAS830L 数字万用表装配实验报告 实验日期: 5月5 实验名称:MAS830L 数字万用表装配 一:实验目的 1、 通过MAS830L 数字万用表装配实验,进一步加深对数字万用表电路原理的认识,能熟练的测量 各种物理量。 2、 了解ICL7106的各个引脚和他的数模转换功能。 3、 了解液晶显示的原理和使用方法。 4、 初步学会通过电路图焊接电路板。掌握一些简单的电路焊接工艺。 5、 了解各种测试仪器的用法并样品进行测试和矫正 二:实验器材 1、 MAS830L 型31/2位数字万用表的各种零配件和相关的材料说明。见MAS830L 元件清单(一)和 MAS830L 元件清单(二)。 2、 焊接电路板所需的烙铁和锡以及松香。 3、 一个标准的数字万用表、螺丝刀、镊子、刀片等。 三:实验原理 1、ICL7106原理介绍 ICL7106是目前广泛应用的一种3?位A/D 转换器,能构成3?位液晶显示的数字电压表。 一、ICL7106的工作原理 1. ICL7106的性能特点 (1)采用+7V ~+15V 单电源供电,可选9V 叠层电池,有助于实现仪表的小型化。低功耗(约16mW ),一节9V 叠层电池能连续工作200小时或间断使用半年左右。 (2)输入阻抗高(1010Ω)。内设时钟电路、+2.8V 基准电压源、异或门输出电路,能直接驱动3?位LCD 显示器。 (3)属于双积分式A/D 转换器,A/D 转换准确度达±0.05%,转换速率通常选2次/秒~5次/秒。具有自动调零、自动判定极性等功能。通过对芯片的功能检查,可迅速判定其质量好坏。 年级:14机电1 班组: 姓名: 朱宇凯 学号: 144030308

数字万用表的组装与调试实验报告doc

数字万用表的组装与调试实验报告 篇一:万用表组装_设计性实验报告 北京交通大学大学物理实验 设计性实验 实验题目 学院 班级学号姓名首次实验时间年月日 指导教师签字 目录 一.实验任务 ................................................ ................................................... .. (4) 1.分析研究万用表电路,设计并组装一个简单的万用表。 (4) 二.实验要求 ................................................ ................................................... .. (4) 1.分析常用万用表电路,说明各挡的功能和设计原理 ................................................

4 2.设计组装并校验具有下列四挡功能的万用表 ................................................ ............ 4 3.给出将X100电阻挡改造为X10电阻挡的电 路 ................................................ .. (4) 三.实验主要器材 ................................................ ................................................... ........................... 4 四.实验方案 ................................................ ................................................... .. (5) 1.测定给定的微安表头的量程I0和Rg。 .............................................. ....................... 5 2.按照如图所示电路进行分流,制作出1mA直流电流表。 ...................................... 5 3.按照如图所示全桥整流电路图制作直流电源。 .............................................. . (5)

数字钟设计报告——数字电路实验报告

数字钟设计实验报告 专业:通信工程 姓名:王婧 班级:111041B 学号:111041226

数字钟的设计 目录 一、前言 (3) 二、设计目的 (3) 三、设计任务 (3) 四、设计方案 (3) 五、数字钟电路设计原理 (4) (一)设计步骤 (4) (二)数字钟的构成 (4) (三)数字钟的工作原理 (5) 六、总结 (9) 1

一、前言 此次实验是第一次做EDA实验,在学习使用软硬件的过程中,自然遇到很多不懂的问题,在老师的指导和同学们的相互帮助下,我终于解决了实验过程遇到的很多难题,成功的完成了实验,实验结果和预期的结果也是一致的,在这次实验中,我学会了如何使用Quartus II软件,如何分层设计点路,如何对实验程序进行编译和仿真和对程序进行硬件测试。明白了一定要学会看开发板资料以清楚如何给程序的输入输出信号配置管脚。这次实验为我今后对 EDA的进一步学习奠定了更好的理论基础和应用基础。 通过本次实验对数电知识有了更深入的了解,将其运用到了实际中来,明白了学习电子技术基础的意义,也达到了其培养的目的。也明白了一个道理:成功就是在不断摸索中前进实现的,遇到问题我们不能灰心、烦躁,甚至放弃,而要静下心来仔细思考,分部检查,找出最终的原因进行改正,这样才会有进步,才会一步步向自己的目标靠近,才会取得自己所要追求的成功。 2

二、设计目的 1.掌握数字钟的设计方法。 2熟悉集成电路的使用方法。 3通过实训学会数字系统的设计方法; 4通过实训学习元器件的选择及集成电路手册查询方法; 5通过实训掌握电子电路调试及故障排除方法; 6熟悉数字实验箱的使用方法。 三、设计任务 设计一个可以显示星期、时、分、秒的数字钟。 要求: 1、24小时为一个计数周期; 2、具有整点报时功能; 3、定时闹铃(未完成) 四、设计方案 一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器和定时器组成。干电路系统由秒信号发生 3

数字万用表设计性实验

普通物理实验C 课程论文 题目数字万用表设计实验学院物理科学与技术学院 电子信息工程学院 专业物理学师范 年级2010级1班 学号222010315210011 姓名彭书涛 指导教师陶敏龙老师 论文成绩 答辩成绩 2011年12 月06 日

数字万用表设计性实验与分析以及实验改进体系 彭书涛 西南大学物理科学与技术学院,重庆 400715 摘要:本论文探讨数字万用表设计实验的思路和实验方法以及改进数字万用表灵敏度的改进方法,从实验入手解决试验中的操作和实验做法的问题,后接着就实验从误差分析入手解决并进行改进方案的讨论。 关键词:数字万用表;设计实验;改进方案; 一、实验内容: 1)制作量程200mA的微安表(表头); 2)设计制作多量程直流电压表; 3)设计制作多量程直流电流表; 二、实验仪器: WS-I数字万用表设计性实验仪三位半数字万用表 三、实验原理 1.数字万用表的组成 数字万用表的组成见图1。 数字万用表其核心是一个三位半数字表头,它由数字表专用A/D转换译码驱

动集成电路和外围元件、LED数码管构成。该表头有7个输入端,包括2个测量 电压输入端(IN+、IN-)、2个基准电压输入端(V REF +、V REF - )和3个小数点驱动 输入端。 图1 数字万用表的组成 2.直流数字电压表头 “三位半数字表头”电路单元的功能:将输入的两个模拟电压转换成数字,并将两数字进行比较,将结果在显示屏上显示出来。利用这个功能,将其中的一个电压输入作为公认的基准,另一个作为待测量电压,这样就和所有量具或仪器的测量原理一样,能够对电压进行测量了。见图2。

北京邮电大学数字电路实验报告

北京邮电大学 数字电路与逻辑设计实验 实验报告 实验名称:QuartusII原理图输入 法设计与实现 学院:北京邮电大学 班级: 姓名: 学号:

一.实验名称和实验任务要求 实验名称:QuartusII原理图输入法设计与实现 实验目的:⑴熟悉用QuartusII原理图输入法进行电路设计和仿真。 ⑵掌握QuartusII图形模块单元的生成与调用; ⑶熟悉实验板的使用。 实验任务要求:⑴掌握QuartusII的基础上,利用QuartusII用逻辑 门设计实现一个半加器,生成新的半加器图像模 块。 ⑵用实验内容(1)中生成的半加器模块以及逻辑门 实现一个全加器,仿真验证其功能,并能下载到实 验板上进行测试,要求用拨码开关设定输入信号, 发光二级管显示输出信号。 ⑶用3线—8线译码器(74L138)和逻辑门实现要求 的函数:CBA F+ C + =,仿真验证其 + B C B A A A B C 功能,,并能下载到实验板上进行测试,要求用拨 码开关设定输入信号,发光二级管显示输出信号。二.设计思路和过程 半加器的设计实现过程:⑴半加器的应有两个输入值,两个输出值。 a表示加数,b表示被加数,s表示半加和, co表示向高位的进位。

⑵由数字电路与逻辑设计理论知识可知 b a s ⊕=;b a co ?= 选择两个逻辑门:异或门和与门。a,b 为异 或门和与门的输入,S 为异或门的输出,C 为与门的输出。 (3)利用QuartusII 仿真实现其逻辑功能, 并生成新的半加器图形模块单元。 (4)下载到电路板,并检验是否正确。 全加器的设计实现过程:⑴全加器可以由两个半加器和一个或门构 成。全加器有三个输入值a,b,ci ,两个输 出值s,co :a 为被加数,b 为加数,ci 为低 位向高位的进位。 ⑵全加器的逻辑表达式为: c b a s ⊕⊕= b a ci b a co ?+?⊕=)( ⑶利用全加器的逻辑表达式和半加器的逻 辑功能,实现全加器。 用3线—8线译码器(74L138)和逻辑门设计实现函数 CBA A B C A B C A B C F +++= 设计实现过程:⑴利用QuartusII 选择译码器(74L138)的图形模块

设计性物理实验 数字万用表的组装与调式

. 数字万用表的组装与调式 通过本次实习进一步掌握数字万用表的组成与工作原理,了解万用表的功能。数字万用表的特点及数字万用表和指针表的区别,对数字万用表的电路一定的认识。电表的改装和电路图的优化。学会测量元器件的参数并且掌握判别元器件的好坏。掌握常见故障的处理方案与维修的基本技巧,掌握元器件和电路印刷版焊接技术。加强对误差分析和数据处理能力。通过本次实习加强理论联系实际的能力,提高学生的动手能力。 【实验目的】 设计并组装一台三位半数字万用表。 【实验仪器】 1.DM-Ⅰ数字万用表设计性实验仪一台 2.三位半数字万用表一台 3.导线若干 【实验原理】 DT9205A型数字万用表电路图

无论何种数字表电路它通常由A/D转换电路,时钟电路,驱动电路,显示电路等组成。。从原理上讲,它所组成的仅仅是一个能测量小于199.9mV的直流电压表,对于实验来说,要测的物理量不只是电压,还有电流、电阻等。 要测量电流或电阻,就必须通过某种“I-V”、“R-V”转换电路将其它的非电压信号转换为直流电压信号,才能用数字直流电压表头测量。另外,对于交流电压和交流电流还要先将其变换为直流然后再用数字直流电压表头测量。 1.数字万用表的特性 与指针式万用表相比较,数字万用表有如下优良特性: ⑴高准确度和高分辨力

三位半数字式电压表头的准确度为±0.5%,四位半的表头可达±0.03%,而指针式万用表中使用的磁电系表头的准确度通常仅为±2.5%。 分辨力即表头最低位上一个字所代表的被测量数值,它代表了仪表的灵敏度。通常三位半数字万用表的分辨力可达到电压0.1mV、电流(指电流强度,下同)0.1μA、电阻0.1Ω,远高于一般的指针式万用表。 ⑵电压表具有高的输入阻抗 电压表的输入阻抗越高,对被测电路影响越小,测量准确性也越高。 三位半数字万用表电压挡的输入阻抗一般为10MΩ,四位半的则大于100MΩ。而指针式万用表电压挡输入阻抗的典型值是20~100kΩ/V。 ⑶测量速率快 数字表的速率指每秒钟能完成测量并显示的次数,它主要取决于A/D转换的速率。三位半和四位半数字万用表的测量速率通常为每秒2~4次,高的可达每秒几十次。 ⑷自动判别极性 指针式万用表通常采用单向偏转的表头,被测量极性反向时指针会反打,极易损坏。而数字万用表能自动判别并显示被测量的极性,使用起来格外方便。 ⑸全部测量实现数字式直读 指针式万用表尽管刻画了多条刻度线,也不能对所有挡进行直接读数,需要使用者进行换算、小数点定位,易出差错。特别是电阻挡的刻度,既反向读数(由大到小)又是非线性刻度,还要考虑挡的倍乘。而数字万用表则没有这些问题,换挡时小数点自动显示,所有测量挡都可以直接读数,不用换算、倍乘。 ⑹自动调零 由于采用了自动调零电路,数字万用表校准好以后使用时无需调校,比指针式万用表方便许多。 ⑺抗过载能力强 数字万用表具备比较完善的保护电路,具有较强的抗过压过流的能力。 当然,数字万用表也有一些弱点,如: ⑴测量时不像指针式仪表那样能清楚直观地观察到指针偏转的过程,在观察充放电等过程时不够方便。不过有些新型数字表增加了液晶显示条,能模拟指针偏转,弥补这一不足。 ⑵数字万用表的量程转换开关通常与电路板是一体的,触点容量小,耐压不很高,有的机械强度不够高,寿命不够长,导致用旧以后换挡不可靠。 ⑶一般数字万用表的V/Ω挡公用一个表笔插孔,而A挡单独用一个插孔。使用时应注意根据被测量调换插孔,否则可能造成测量错误或仪表损坏。

数字秒表的设计与实现实验报告

电子科技大学《数字秒表课程设计》 姓名: xxx 学号: 学院: 指导老师:xx

摘要 EDA技术作为电子工程领域的一门新技术,极大的提高了电子系统设计的效率和可靠性。文中介绍了一种基于FPGA在ISE10.1软件下利用VHDL语言结合硬件电路来实现数字秒表的功能的设计方法。采用VHDL硬件描述语言,运用ModelSim等EDA仿真工具。该设计具有外围电路少、集成度高、可靠性强等优点。通过数码管驱动电路动态显示计时结果。给出部分模块的VHDL源程序和仿真结果,仿真结果表明该设计方案的正确,展示了VHDL语言的强大功能和优秀特性。 关键词:FPGA, VHDL, EDA, 数字秒表

目录 第一章引言 (4) 第二章设计背景 (5) 2.1 方案设计 (5) 2.2 系统总体框图 (5) 2.3 -FPGA实验板 (5) 2.4 系统功能要求 (6) 2.5 开发软件 (6) 2.5.1 ISE10.1简介 (6) 2.5.2 ModelSim简介 (6) 2.6 VHDL语言简介 (7) 第三章模块设计 (8) 3.1 分频器 (8) 3.2 计数器 (8) 3.3 数据锁存器 (9) 3.4 控制器 (9) 3.5 扫描控制电路 (10) 3.6 按键消抖电路 (11) 第四章总体设计 (12) 第五章结论 (13) 附录 (14)

第一章引言 数字集成电路作为当今信息时代的基石,不仅在信息处理、工业控制等生产领域得到普及应用,并且在人们的日常生活中也是随处可见,极大的改变了人们的生活方式。面对如此巨大的市场,要求数字集成电路的设计周期尽可能短、实验成本尽可能低,最好能在实验室直接验证设计的准确性和可行性,因而出现了现场可编程逻辑门阵列FPGA。对于芯片设计而言,FPGA的易用性不仅使得设计更加简单、快捷,并且节省了反复流片验证的巨额成本。对于某些小批量应用的场合,甚至可以直接利用FPGA实现,无需再去订制专门的数字芯片。文中着重介绍了一种基于FPGA利用VHDL硬件描述语言的数字秒表设计方法,在设计过程中使用基于VHDL的EDA工具ModelSim对各个模块仿真验证,并给出了完整的源程序和仿真结果。

DT830B数字万用表组装实验报告

课程综合实训报告 题目:DT830B数字万用表组装实验报告 年级: 09级 专业:应用电子技术 学号: 0901001320 学生姓名:肖榕 指导教师:吴燕红龚金伟 2010年12月28日 目录 一、实训目的 (2) 二、项目要求 (3) 三、组装过程 (3) (一)、DT-830B数字万用表 (3) 1.制作目的 (3)

2.制作要求 (4) 3.DT830B数字万用表的特点和工作原理 (4) 4.DT830B数字万用表的安装工艺 (5) (1)、印制板的装配 (5) (2)、液晶屏组件安装 (5) (3)、组装转换开关 (6) (4)、总装 (7) (5)、调试 (8) 四、心得体会 (9) DT830B数字万用表组装实验报告 0901001320 肖榕 一、实训目的 实训是通过具有一定功能和应用价值的一个具体产品的设计与制作,或者一个实际项目的开发与应用,使学生受到工程设计、制造工艺、调试检测和撰写技术报告的系统训练,启迪我们的创新思维,培养我们分析问题和解决问题的综合能力。实验实训环节是非常重要的,他是理论联系实际的主要形式,是实施“教学做合一”教学理念的重要手段,也是激发我们创新意识的有效载体,更是训练、培养学生技术应用能力和实际操作技能的根本途径。 通过实训: ·使我们巩固、加深和学习光电子技术的基础理论、基本知识和技能技能。 ·使我们能正确地选择和使用常用电工仪表、电子仪器及有关实验设计。 ·使我们掌握基本电量及电子元件的测试技术、实验方法和数据的分析处理。

·使我们能应用已学的理论知识设计简单的应用电路,合理选择元器件构成实用的电子小系统。 ·使我们受到基本的实验技能、系统的工程实践和撰写技术报告的初步训练。 ·培养我们严肃认真、实事求是、独立思考、踏实细致的科学作风,树立创新精神,养成良好的工作习惯。 二、项目要求: 1. 分析并读懂无线音乐门铃电路图。 2. 对照电原理图看懂接线电路图。 3. 认识电路图上的符号,并与实物相照。 4. 根据技术指标测试各元器件的主数。 5. 认真细心地安装焊接。 6. 按照技术要求进行调试。 三、组装过程 (一)、DT-830B数字万用表

数字万用表设计性实验 (3)

实验报告评分: 94 11 系07 级姓名高辰阳日期2008.9.23 No. PB07009001 (实验预习报告——包括实验目的和原理——及原始数据,见纸质材料) 实验题目:数字万用表设计性实验 实验器材:DM-Ⅰ数字万用表设计性实验仪,数字万用表 实验步骤:1、设计制作多量程直流数字电压表 (1)组装直流数字电压表:使用电路单元:三位半数字表头,直流电压校准,直流电压电流,分压器1。参考电压VREF输入端接直流电压校准电位器。 (2)校准电压表头:用一只成品数字万用表(称为标准表)置于直流电压20V量程进行监测,调节直流电压电流单元电路中电位器,使之输出一150--200mV左右的校准电压,然后将标准表表笔(输入)与组装表表笔并联,均置于直流电压200mV挡,测量直流电压电流单元输出电压,调整“直流电压校准”旋钮使表头读数与标准表读数一致(允许误差±0.5mV)。 (3)绘制组装表的电压校准曲线:调节直流电压电流单元电路中电位器,使之分别输出 20mV、40mV、60mV、80mV、100mV、120mV、140mV、160mV、180mV的直流电压。 将标准数字万用表表笔与组装表表笔(输入)并联,标准表、组装表均置于直流电压200mV 挡,同时测量直流电压电流单元输出电压,列表记录之。并绘出组装表的电压校准曲线 2.设计制作多量程交流数字电压表 (1)组装多量程交流数字电压表: 使用电路单元:三位半数字表头,直流电压校准交流电压校准(AC-DC变换器),分压器1,量程转换与测量输入。在上述200mV直流数字电压表头的基础上,增加交流-直流(AC-DC)变换器,制成交流数字电压表⑴并校准

DT830B 数字万用表装配实验报告

DT830B 数字万用表装配实验报告一.实验目的 1.了解DT-830数字万用表的基本结构和原理。 2.认识并测量元器件,了解元器件标识的意义。 3.对照原理图和印制电路板图,理解电路组装工艺。 4.调试并检测各部分电路功能和质量,提高综合安装测试技能。二.实验原理 1.直流电压测量原理 2.交流电压测量原理 3.直流电流测量原理 4.交流电流测量原理

5.电阻测量原理 6.电容测量原理 三.安装工艺 1,印制板安装 双面板的A面是焊接面,中间环印制导线是功能,量程转换开关电路,需要小心保护,不得划伤或污染。安装前必须对照元件清单,仔细清理,测试元器件。其中,测试过的电阻要分开放,记录电阻值的大小。 2,安装步骤

(1)将清单上的所有的元件焊接到印制电路板相应的位置上,电容,二极管,三极管采用立式焊接。二极管和三极管要注意极性。 (2)安装电位器,三极管插座。注意安装方向:三极管插座装在A面而且应使定位凸点与外壳对准,在B面焊接。 (3)安装保险座,L,弹簧。焊接点大,注意预焊和焊接时间。并注意L的安装高度(太高的话后壳盖不上)。 (4)安装电池线。电池线由A面三极管旁边孔穿过到B面再插入焊孔,在A面焊接。红线接“+”,黑线姐“-”。 3,液晶屏的安装 (1),面壳平面向下置于桌面,从旋转圆孔两边垫起越5mm。 (2),将液晶屏放入窗口内,白面向上,方向标记在右方;用镊子(不要用手拿)把导电硅胶条放入液晶屏PIN脚处,注意保持导电硅胶条的清洁。再用EVA胶垫紧靠导电条贴在液晶屏上,固定住导电条。 4,旋钮安装方法。 (1)V型弹簧片装到旋钮上,六个。弹簧比较小,易变形,用力要轻,要小心。 (2)装完弹簧片把旋钮反面,将两个小弹簧粘上少许凡士林放入旋钮两圆孔,再把小钢珠放在表壳合适的位置。 (3)将装好的弹簧的旋钮按正确方向放入表壳。 5,固定印制板。 (1)将印制板对准位置装入表壳,并用四个螺钉紧固。 (2)装上保险管和电池,转动旋钮,液晶屏应正常显示。 五.感想

数字系统设计软件实验报告

实验一QuartusⅡ9.1软件的使用 一、实验目的: 1、通过实现书上的例子,掌握QUARTUSII9.1软件的使用; 2、编程实现3-8译码电路以掌握VHDL组合逻辑的设计以及QUARTUSII9.1软件的使用。 二、实验流程: 1、仔细阅读书上的操作指南,学会在QuartusⅡ9.1中创建新的工程,创建过程如下所示: 1)、建立新设计项目: ①启动QuartusⅡ9.1软件,在软件的管理器窗口选File下拉菜单,即File→New Project Wizard,则出现新建工程向导窗口。如下所示: ②点击Next按钮,将弹出新建工程设置窗口,如下图所示。在新建工程设置窗口中设置好工程的存放路径、工程名称等。

③点击Next进入添加文件窗口,如下图。由于尚未创建文件,跳过该步骤。 ④点击Next按钮,进入选择目标芯片窗口。在这里我们选择Cyclone系列的EP1C6Q240C8,如下图:

⑤点击Next按钮,进入EDA工具设置窗口,通常选择默认的“None”,表示选择QuartusⅡ自带的仿真器和综合器。如下图: ⑥点击Next按钮,弹出New Project Wizard概要对话框,在这个窗口中列出了所有前面设置的结果。若有错误则点击Back回去修改,否则点击Finish结束,即完成新工程的设定工作。如下图:

2)、文本设计输入: ①在QuartusⅡ主界面菜单栏中选择File下拉菜单中的New,弹出新建设计文件窗口,选择VHDL File项,点击OK按钮即可打开VHDL文本编辑窗口,其默认文件名为“Vhdl.vhd”。 ②出现文本编辑窗口后,我们可以直接在空白界面中键入所设计的VHDL文本。这时我们将书本中的程序输入到文本编辑环境中去。程序如下: library IEEE; use IEEE.std_logic_1164.all; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity count10 is port(clk,load,en:in std_logic; data_in:in std_logic_vector(3 downto 0); seg:out std_logic_vector(6 downto 0)); end count10; architecture beha of count10 is signal qout:std_logic_vector(3 downto 0); signal q_temp:std_logic_vector(3 downto 0); begin process(clk,load) begin

马实验1数字万用表的应用实验报告

实验一数字万用表的应用 一、实验目的 1 理解数字万用表的工作原理; 2 熟悉并掌握数字万用表的主要功能和使用操作方法。 二、实验内容 1 用数字万用表检测元器件——电阻测量、电容测量、二极管检测、三极管检测; 2用数字万用表测量电压和电流——直流电压及电流的测量、交流电压及电流的测量。 三、实验仪器及器材 1 低频信号发生器1台 2 数字万用表1块 3 功率放大电路实验板1块 4 实验箱1台 5 4700Pf、IN4007、9018 各1个 四、实验要求 1 要求学生自己查阅有关数字万用表的功能和相关工作原理,了解数字万用表技术指标; 2 要求学生能适当了解一些科研过程,培养发现问题、分析问题和解决问题的能力; 3 要求学生独立操作每一步骤; 4 熟练掌握万用表的使用方法。 五、万用表功能介绍(以UT39E型为例) 1概述 UT39E型数字万用表是一种功能齐全、性能稳定、结构新颖、安全可靠、高精度的手持式四位半液晶显示小型数字万用表。它可以测量交、直流电压和交、直流电流,频率,电阻、电容、三极管β值、二极管导通电压和电路短接等,由一个旋转波段开关改变测量的功能和量程,共有28档。 本万用表最大显示值为±19999,可自动显示“0”和极性,过载时显示“1”,负极性显示“-”,电池电压过低时,显示“”标志,短路检查用蜂鸣器。 2技术特性 A直流电压: 量程为200mV、2V、20V、200V和1000V五档,200mV档的准确度为±(读数的0.05%+3个字),2V、20V和200V档的准确度为±(读数的0.1%+3个字), 1000V档的准确度为±(读数的0.15%+5个字); 输入阻抗,所有直流档为10MΩ。 B交流电压 量程为2V、20V、200V和750V四档,2V、20V和200V档的准确度为±(读数的0.5%+10个字), 750V档的准确度为±(读数的0.8%+15个字); 输入阻抗,所有量程约为2MΩ; 频率范围为40Hz~400Hz; 显示:正弦波有效值(平均值响应)。 C 直流电流 量程为2mA、200mA和20A三档,2mA档的准确度为±(读数的0.5%+5个字),200mA 档的准确度为±(读数的0.8%+5个字), 20A档的准确度为±(读数的2%+10个字)。 D 交流电流 量程为2mA、200mA和20A三档,2mA档的准确度为±(读数的0.8%+10个字),200mA

数字电路与系统设计实验报告

数字电路与系统设计实验报告 学院: 班级: 姓名:

实验一基本逻辑门电路实验 一、实验目的 1、掌握TTL与非门、与或非门和异或门输入与输出之间的逻辑关系。 2、熟悉TTL中、小规模集成电路的外型、管脚和使用方法。 二、实验设备 1、二输入四与非门74LS00 1片 2、二输入四或非门74LS02 1片 3、二输入四异或门74LS86 1片 三、实验内容 1、测试二输入四与非门74LS00一个与非门的输入和输出之间的逻辑关系。 2、测试二输入四或非门74LS02一个或非门的输入和输出之间的逻辑关系。 3、测试二输入四异或门74LS86一个异或门的输入和输出之间的逻辑关系。 四、实验方法 1、将器件的引脚7与实验台的“地(GND)”连接,将器件的引脚14与实验台的十5V连接。 2、用实验台的电平开关输出作为被测器件的输入。拨动开关,则改变器件的输入电平。 3、将被测器件的输出引脚与实验台上的电平指示灯(LED)连接。指示灯亮表示输出低电平(逻辑为0),指示灯灭表示输出高电平(逻辑为1)。 五、实验过程 1、测试74LS00逻辑关系 (1)接线图(图中K1、K2接电平开关输出端,LED0是电平指示灯) (2)真值表 2、测试74LS02逻辑关系

(1)接线图 (2)真值表 3、测试74LS86逻辑关系接线图 (1)接线图 (2)真值表 六、实验结论与体会 实验是要求实践能力的。在做实验的整个过程中,我们首先要学会独立思考,出现问题按照老师所给的步骤逐步检查,一般会检查处问题所在。实在检查不出来,可以请老师和同学帮忙。

实验二逻辑门控制电路实验 一、实验目的 1、掌握基本逻辑门的功能及验证方法。 2、掌握逻辑门多余输入端的处理方法。 3、学习分析基本的逻辑门电路的工作原理。 二、实验设备 1、基于CPLD的数字电路实验系统。 2、计算机。 三、实验内容 1、用与非门和异或门安装给定的电路。 2、检验它的真值表,说明其功能。 四、实验方法 按电路图在Quartus II上搭建电路,编译,下载到实验板上进行验证。 五、实验过程 1、用3个三输入端与非门IC芯片74LS10安装如图所示的电路。 从实验台上的时钟脉冲输出端口选择两个不同频率(约7khz和14khz)的脉冲信号分别加到X0和X1端。对应B和S端数字信号的所有可能组合,观察并画出输出端的波形,并由此得出S和B(及/B)的功能。 2、实验得真值表

实验四虚拟电压表的设计和虚拟数字万用表的使用

. 《虚拟仪器技术》 实验报告 学生姓名 学号 日期

实验四、虚拟电压表的设计和虚拟数字万用表的使用 一、实验原理 1)一般电压表和万用表的工作原理和使用方法。 2)交流电各种电压值表示的概念以及相互转换关系。 3)子VI的创建方法。 二、实验目的 1)掌握虚拟电压表和数字万用表的设计和使用方法 2)进一步掌握LabVIEW的使用,特别是控件属性的操作以及子VI的使用。 三、实验内容及要求 1)利用LabVIEW 设计一简易虚拟电压表。 功能要求:具有普通电压表的基本功能,用户可选择直流测量和交流测量。对于直流电压只需显示电流值大小,对于交流电则需要显示该交流电的峰值、有效值、平均值和直流分量(若存在)。同时能够提供虚拟输入和实际输入两种测量信号,虚拟输入时能够显示信号波形。 其他要求:对虚拟电压表进行初始设置,即每次运行程序时电压表的初始界面一致,具体表现在开关处于关闭状态,波形图窗口清空,其他控件处于使能状态下。实际输入时禁用仿真参数设置控件,仿真输入时测量直流电压值时禁用信号幅度、频率、初始相位、占空比、信号类型等控件。 2)创建自行设计的虚拟电压表子VI。 3)使用NI ELVIS提供的数字万用表(DMM)模块完成电阻、电流和电压的测量,并就其中的电压测量部分与自行设计的虚拟电压表进行比较和分析。 四、实验步骤 1)参考程序流程图如图4.1所示;参考前面板设计如图4.2所示,该前面板除具有实验三函数发生器的参考前面板中所有的输入控件外,还添加了仿真与实际信号的切换按钮,交流/直流测量的切换按钮,开关按键,电源指示灯以及结果显示包括:直流分量,平均值,有效值和峰峰值(可以根据需求自行添加或删减);参考程序框图设计如图4.3所示。本次虚拟电压表的设计与实际使用的模拟/数字电压表是存在很大差别的,为便于实验做了大量简化。实验的主要目的是了解LabVIEW中对子函数的调用及使用方法,LabVIEW中有关属性节点、局部变量的使用和有关用户界面设计的一些基本方法,以及利用DAQ处理采集数据的方法(此部分需要结合实验二中相关内容)。程序框图图4.3看似复杂,其实大量的工作是用于完成空间的属性操作和有关程序初始化设置的问题,真正用于数据处理的模块其实只有三个(具体见实验提示4)。

基于FPGA的现代数字系统设计实验报告

****大学 实验报告 课程名称:基于FPGA的现代数字系统设计 实验名称:基于HDL十进制计数显示系统设置 姓名: 学号: 班级: 指导教师: ****大学信息工程学院制

基于HDL十进制计数显示系统设置 一、实验要求 1.设计具有异步复位,同步使能的十进制计数器,其计数结果通过七段数码管,发光二极管等进行显示。 2.主要设计的模块有十进制计数模块和数码管驱动模块以及消抖模块。 3.需要将按键输入的时钟,然后通过消抖模块消抖后,再输出至后续使用。 4.共阴极数码管驱动。 二、实验原理 本次的设计是一个具有数显输出的十进制计数器。示意图如2.1所示。 图2.1 七段数码管属于数码管的一种,是由7段二极管组成。按发光二极管单元衔接方式分为共阳极数码管和共阴极数码管。本实验使用共阴数码管。它是指将一切发光二极管的阴极接到一同构成公共阴极(COM)的数码管。共阴数码管在应用时应将公共极COM接到地线GND上,当某一字段发光二极管的阳极为高电平相应字段就点亮,当某一字段的阳极为低电平相应字段就不亮。 显示译码器,用HDL语言判断CLK的上升沿信号,每次收到一次上升沿信号,计数器的值加一并显示在数码管上,所以本次实验会将十进制计数与七段数码管的显示分别写在两个模块里面。 系统模块划分及引脚连线图如下:

三、实验步骤(设计输入) 1.十进制计数器模块 module cnt10(clk,clr,ena,sum,cout); input clk,clr,ena; output [3:0] sum; output cout; reg [3:0] sum; reg cout; always @ (posedge clk or posedge clr) if(clr==1) begin sum <= 4'b0000; cout<= 1'b0;end else if(ena==1'b1) if(sum==4'b1001) begin sum <= 4'b0000; cout<= 1'b1; end else begin sum <= sum+1; cout<= 1'b0; end else begin sum <= sum; cout<= cout; end Endmodule 程序主要思路是用always等待clk与clr的上升沿信号,因为是异步清零所以在always 中也要等待clr的清零信号。用if语句判断clr信号,为1则清零。判断ena信号,为1进行计数操作,为0输出保持不变。计数中,判断sum的值如果为9时,进行进位操作,并将sum值赋值为0 2.数码管驱动模块 module led7 (sum ,out ); input [3:0] sum; output [6:0] out; reg [6:0] out ; always @(sum) begin out = {7{1'b0}};

万用表实验报告

物理实验报告 姓名:杜伟胜班级桌号日期成绩一、实验项目:万用 表的使用二、实验目的:掌握万用表的使用方法三、实验仪器:mf500-4 型万用表、直流稳压电源、滑线变阻器、标准电阻箱、电阻 板、暗盒子、伏特表、毫安表、单刀开关、双刀开关、导线7条、故障线2条。 四、实验内容步骤及实验记录: 1.用万用表测量交流电压、直流电流和电阻 (1)用交流电压档测量市电电压值(约220v); 将万用表置于交流250v档,调零。用表笔探测交流电源插座的插孔。手不可接触表笔金 属部分。 测量值为228v,在仪器工作允许范围。可以通过调节实验室的交流稳压电源到输出220v。 (2)用欧姆档测量电阻板上的电阻值,并指明所用档次的中值电阻值为多少?测量前 必须调零,并使电路不闭合、不通电。 c (3)按图1连接电路。电源电压取5伏,选 ubc、ucd、择合适的量程分别测出uab、ubd和u ad ,同时要记录测量量程及其 内阻;(灵敏度20kω/v) 图 1 (4)选择合适的量程测出回路中的电流i,并记录测量量程和内阻(50μa表头,内 阻r 2.用万用表检查和排除故障(用伏特计法) 按图2连接电路。其中电源电压e取5伏,电阻用电阻箱500欧左右。把检查过程记录 下来。 现象:毫安表没有示数,伏特表有示数,’’’’’’ab有电压,cd无电压,dc无电压,fd 无电压,’’’’’’fh无电压,fc有电压,cd有电压hf间有电压, ’’故知线ff为故障线,dd为故障线。 ’ 3.用万用表判断黑盒子内的元器件及其连接电路。元器件有干 电池(1.5v)、电容器、电阻、二极管中的四只 三、误差分析 1、 由图1电路的电压测量数据发现,实际测量值小于计算值,尤其是ucd。 电路,增加了电路总电阻,导致总电流的减小。电流接入误差计算如下: ?i/i测?ra/r等 故 3、 ?i?3.1/121?40?μa 实验中出现的问题及解决 四、注意事项 (1)测量前一定要根据被测量的种类、大小将转换开关拨至合适的位置;(2)执 表笔时,手不能接触任何金属部分; (3)测试时采用跳跃接法,即在用表笔接触测量点的同时,注视电表指针偏转情况,随 时准备在出现不正常现象时使表笔离开测量点。

数字万用表设计实验

数字万用表设计实验 By 金秀儒 物理三班 Pb05206218

实验题目:数字万用表设计实验 学号:pb05206218 姓名:金秀儒 实验目的: 1.掌握数字万用表的工作原理、组成和特性 2.掌握数字万用表的校准方法和使用方法 3.掌握分压及分流电路的连接和计算 4.了解整流滤波电路和过压过流保护电路的功用 实验仪器: 1. DM-Ⅰ数字万用表设计性实验仪 2. 三位半或四位半数字万用表 实验原理: 数字万用表的基本组成 图1 数字万用表的基本组成 模数(A/D )转换与数字显示电路 数字信号与模拟信号不同,其幅值(大小)是不连续的。将被测量与最小量化单位比较, 并把结果四舍五入取整后变为十进制起段显码显示出来。一般N ≥1000即可满测量精度要求。常见数字表头最大示数为1999,称为三位半(2 1 3 )数字表。 数字测量仪表的核心是模/数(A/D )转换、译码显示电路。A/D 转换一般又可分为量化、编码两个步骤。 本实验用实验仪,核心为一个三位半数字表头,由数字表专用A/D 转换译码驱动集成电路和外围元件、LED 数码管构成。该表头有7个输入端,包括2个测量电压输入端(IN +、IN-)、2个基准电压输入端(V REF+、V REF -)和3个小数点驱动输入端。 数字显示屏(LED 或液晶) 模数转换,译码驱动 基准电压 小数点驱动 (配合被测量与量程) 过压过流保护 过压过流保护 分档电阻(量程转换) 分压器(量程转换) 分流器(量程转换) 交流直流变换器 (放大、整流、滤波) 直流 被测量 输 入 交流 V REF 电流 电压 电阻 V IN

万用表的设计与组装实验报告

北京交通大学 大学物理实验 设计性实验 实验题目:万用表的设计与组装 学院 班级 学号 姓名 首次实验时间年月日 指导教师签字

万用表的设计及组装实验报告 ●实验任务 分析研究万用表电路,设计并组装一个万用表。 ●实验要求 1、分析常用万用表电路,说明各档的功能和设计原理。、 2、设计组装并校验具有下列四档功能的万用表: (1)直流电流档;量程; (2)以自制的的电流表为基础的直流电压档:量程; (3)以自制的的电流表为基础的交流电压档:量程; (4)以自制的的电流表为基础的欧姆档(×100):电源使用一节; (5)给出将×100电阻挡改造成的×10电阻挡的电路(不进行实际组装)。 ●实验方案 (一)直流电流档(): 1、电路图: 2、实验步骤: (1)用数字万用表测量灵敏电流表内阻Rg。 (2)连接如图所示的电路。 (3)调节R2使得(R1+R2)等于Rg,调节R1使灵敏电流表达到满偏。(4)通过调节变压器读出几组不同的数据,进行校验。 (二)直流电压档() 1、电路图: 2、实验步骤; (1)连接如图所示的电路图。 (2)通过计算得自制电流表需串联电阻R3. (3)调节R1灵敏电流表达到满偏,数字万用表读数为。 (4)调节变压器读出几组两表的读数记录在原始数据记录纸上;画出校验图。

(三)交流电压档(): 1、电路图: 2、实验步骤; (1)连接如图所示的电路。 (2)通过计算得R4阻值。 (3)调节R1使灵敏电流表达到满偏,数字万用表的读数为。 (4)调节变压器读出几组不同的读数并记录在原始数据记录表上。 (四)电阻挡(×100): 1、电路图; 2、实验步骤; (1)连接如图所示的电路。 (2)通过计算得到灵敏电流表满偏时的R5阻值。 (3)将正负表笔接到电阻箱上,通过改变电阻箱电阻大笑记录灵敏电流表上的读 数。 (五)电阻档(×10)设计方案 1、电路图 ●注意事项 1、注意交流直流档的选择(AC交流,DC直流); 2、注意二极管的方向不能接反,否则容易造成短路或断路; 3、检查完电路正确后再打开电源。 ●参考文献

数字电路组合逻辑电路设计实验报告

实验三组合逻辑电路设计(含门电路功能测试)

一、实验目的 1.掌握常用门电路的逻辑功能 2.掌握小规模集成电路设计组合逻辑电路的方法 3.掌握组合逻辑电路的功能测试方法 二、实验设备与器材 Multisim 、74LS00 四输入2与非门、示波器、导线 三、实验原理 TTL集成逻辑电路种类繁多,使用时应对选用的器件做简单逻辑功能检查,保证实验的顺利进行。 测试门电路逻辑功能有静态测试和动态测试两种方法。静态测试时,门电路输入端加固定的高(H)、低电平,用示波器、万用表、或发光二极管(LED)测出门电路的输出响应。动

态测试时,门电路的输入端加脉冲信号,用示波器观测输入波形与输出波形的同步关系。 下面以74LS00为例,简述集成逻辑门功能测试的方法。74LS00为四输入2与非门,电路图如3-1所示。74LS00是将四个二输入与非门封装在一个集成电路芯片中,共有14条外引线。使用时必须保证在第14脚上加+5V电压,第7脚与底线接好。 整个测试过程包括静态、动态和主要参数测试三部分。 表3-1 74LS00与非门真值表 1.门电路的静态逻辑功能测试 静态逻辑功能测试用来检查门电路的真值表,确认门电路的逻辑功能正确与否。实验时,可将74LS00中的一个与非门的输入端A、B分别作为输入逻辑变量,加高、低电平,观测输出电平是否符合74LS00的真值表(表3-1)描述功能。 测试电路如图3-2所示。试验中A、B输入高、低电平,由数字电路实验箱中逻辑电平产生电路产生,输入F可直接插至逻辑电平只是电路的某一路进行显示。

仿真示意 2.门电路的动态逻辑功能测试 动态测试用于数字系统运行中逻辑功能的检查,测试时,电路输入串行数字信号,用示波器比较输入与输出信号波形,以此来确定电路的功能。实验时,与非门输入端A加一频率为

相关主题
相关文档 最新文档