当前位置:文档之家› 多功能数字时钟设计

多功能数字时钟设计

多功能数字时钟设计
多功能数字时钟设计

课程设计报告

学生姓名:刘佳

号:2017307010102

学院:电气工程学院

班级:通信171

题目:多功能数字时钟设计

指导教师:刘晓峰职称: 高级实验师指导教师:杨修宇职称: 实验师

2018 年 12 月 28 日

目录

1. 设计要求 (3)

2. 设计原理及框图 (3)

2.1 模块组成 (3)

3. 器件说明 (4)

4. 设计过程 (8)

4.1显示电路模块设计 (8)

4.2时钟脉冲电路模块设计 (9)

4.3计时模块电路设计 (10)

4.4计时校时控制模块电路设计 (11)

4.5整点报时与定点报时模块电路设计 (12)

5. 仿真调试过程 (13)

6. 收音机原理及焊接调试 (14)

6.1收音机原理 (14)

6.2收音机焊接工艺要求 (16)

6.3收音机调试过程 (16)

7. 设计体会及收获 (17)

1. 设计要求

(1)以24小时为一个计时周期,稳定的显示时、分、秒。

(2)当电路发生走时误差时,可以对所设计的时钟进行校时。

(3)电路有整点报时功能。报时声响为四低一高,最后一响高音正好为整点。

(4)电路具有闹钟功能,当闹钟所设定时间与时钟计时相同时,发出提示音,

时长为一分钟。

2. 设计原理及框图

2.1 模块组成

多功能数字时钟由时钟脉冲电路模块、秒计时模块、分计时模块、时计时模块、显示模块、计时校时控制模块、定点报时模块与整点报时模块组。如图1所示。

图1 多功能数字时钟原理框图

多功能数字时钟由时钟脉冲电路模块、秒计时模块、分计时模块、时计时模块、显示模块、计时校时控制模块、定点报时模块与整点报时模块组成。时钟脉冲电路模块由振荡电路与分频电路组成,为数字时钟提供秒脉冲信号、定点整点报时信号以及调试信号。计时电路包括“秒”计时、“分”计时与“时”计时电

路模块,用来记录秒脉冲个数,是数字时钟的基本单元,并以“时”、“分”、“秒”形式显示。显示电路由译码器和数码管组成,将“时”、“分”、“秒”信息用数字形式显示在数码管上。当多功能数字时钟需要“对表”时,校时电路可以使时钟暂停,分别校准“时”、“分”、“秒”位置显示的信息。同时,需要用户需要整点、定点报时电路可提供相应的报时功能。在Multisim环境中,对复杂电路设计可分为单元电路设计与整体电路设计两个步骤。待各个单元电路设计完成后,将各个单元电路进行封装,连接成整体电路,这样层次化的设计可以有利于各模块电路与整体电路的仿真、调试。

表1 使用器材一览表

3. 器件说明

(1)555定时器1片

555定时器是一种多用途的数字-模拟混合中规模集成电路。555定时器使用灵活、方便,只需外界少量的电阻和电容元件,就可以很方便的构成施密特触发器、多谐振荡器和单稳态触发器。目前555定时器产品型号很多,但是所有双极型(又称TTL)产品型号的最后三位都是555;所有单极型(又称CMOS型)产品型号的最后四位都是7555.而且这两种类型产品的结构、工作原理及外部引脚排列都基本相同。所以在这次设计中,我们选择用555计时器来构成多谐振荡器进

而构成时钟脉冲电路

表2 555定时器功能表

GND R CC

TR OUT TH D

TR

U u I1TH I2

u ((R u O

(′图2 555定时器电路结构图与引脚排列图

(2)74LS160 6片

74LS160是一个8421BCD 同步十进制计数器,也就是说它只能记十个数从0000-1001(0-9)到9之后再来时钟就回到0,首先是CLK ,这是时钟。之后是ROC ,这是输出,MR 是复位低电频有效(图上接线前面花圈的都是低电平有效)LOAD 是置数信号,当他为低电平时,在始终作用下读入D0到D3。为了使161正常工作ENP 和ENT 接1另外D0到D3是置数端Q0到Q3是输出端。在此次设计中用来构建时钟的“时”、“分”、“秒”的计数单位。

图3 74LS160的简易图形符号

表3 74LS160的功能表

(3)74LS48 2片

数码管有两种:直接显示与译码显示。译码显示还有共阴极与共阳极之分,74LS47芯片为驱动共阳极数码管器件,74LS48芯片为驱动共阴极数码管器件。本实验用到共阴极数码管,所以我们采用了74LS48芯片。

图4 74LS48引脚排列图

(5)74ALS04 6片

“非”逻辑运算也称为逻辑反,数字电路中的反相器,作为实现“非”逻辑的电子元件,在实际中经常使用。反相器是可以将输入信号的相位反转180度,

U15A

74LS04D

这种电路应用在模拟电路,比如说音频放大,时钟振荡器等。在电子线路设计中,经常要用到反相器。在此次设计中,反相器被用作在延迟进位的方面。

图5 74LS04D 引脚图

(5)74LS85 4片

在本次实验中,对两个位数相同的二进制数进行比较,以判断它们的相对大小或者是否相等。当设定的报时时间与数字时钟当前的记录时间相同时,

二输入与非门的输出为高电平,这是SPL 引脚驱动蜂鸣器就会发出提示音,完成定点报时。用来实现这一功能,我们选择了数值比较器74LS85。

图6 74LS85引脚排列图 表4 数值比较器74LS85功能表

(6)74LS138 1片

由表5可以看出,其输入信号为原码。译码过程中,根据A 0、A 1、A 2 的

取值组合,0Y ~ 7Y 中某一个输出为低电平,且 i i Y m =,()i 0,1,2,,7=?为最小项。

图7 74LS138的简易图形符号 表5 74LS138的功能表

4. 设计过程

4.1显示电路模块设计

显示电路模块设计可以用数码管来完成,数码管有两种:直接显示与译码显示。译码显示还有共阴极与共阳极之分,74LS47芯片为驱动共阳极数码管器件,

74LS48芯片为驱动共阴极数码管器件。DCD-HEX芯片不需要译码可直接使用,所以在本次设计中直接采用DCD-HEX类型数码管。

图8 数码管显示电路

4.2时钟脉冲电路模块设计

时钟脉冲电路可以由晶振组成,也可以由555定时电路构成。本次设计采用的时由555定时电路构成的秒脉冲发生器。555定时振荡电路输出方波信号,其振荡周期T由电阻R1、R2与电容C决定,振荡周期T的数值为

T=0.7(R1+2R2)C=0.9996s

555定时电路输出引脚的输出频率为1Hz。在仿真时,1Hz用于时钟运行,其他信号用于调试与仿真。

图9 秒脉冲发生器

4.3计时模块电路设计

在数字时钟电路中,六十进制计数电路与二十四进制计数电路是数字时钟的基础部分,它关系到时钟走时正确与否。

(1)分、秒六十进制计数电路设计

在数字电路中,74LS160是同步可预制加法十进制计数电路,其内部由四个D触发器和若干个门电路构成,具有计数、置数、保持、异步清零等功能。分、秒六十进制计数电路可以通过六进制计数电路与十进制计数电路串联实现六十进制计数电路功能,设计电路如图所示。芯片74LS160(U13)与芯片74LS160(U14)为六十进制计数电路核心器件,U13为秒十位六进制计数电路,U14为秒个位十进制计数电路。芯片73LS160(U14)在时钟信号的驱动下,其输出在0000~1001之间循环,

图10 六十进制计数电路图

当记满十个状态时,进位引脚RCO输出进位脉冲,为十进制计数电路提供时钟信号。芯片74LS160(U13)通过二输入与非门74LS00D(U20B)采用置数法构成计数电路。当输出端QD、QC、QB、QA出现0101状态时,二输入与非门74LS00D(U20B)输出低电平,使74LS160(U13)的输出端清零,从而实现输出端QD、QC、QB、QA 从0000~0101循环,构成六进制计数电路。同时,在74LS160(U3)输出0101时,通过反相器使低电平跳变为高电平,形成了六十进制计数电路的进位时钟信号。

(2)二十四进制计数器设计

数字时钟的小时计数有12进制和24进制两种,本次设计使用24进制计数电路。与六十进制计时电路一样,二十四进制计时电路仍然采用两片74LS160

芯片来实现,如图所示。

图11 二十四进制计时电路图

当芯片74LS160(U1)输出0100、芯片73LS160(U2)输出0010,即出现“24”时,二输入与非门74LS00D(U12B)输出低电平,使两片74LS160芯片同时清零,实现了二十四进制计数电路功能。

4.4计时校时控制模块电路设计

图12 计时校时控制模块电路图

校时是时钟具有的基本功能当数字时钟运行出现偏差时,通过校时电路可以调整数字时钟的记录时间,使其与标准时间一致。本设计的计时校时电路由5个常开开关和1个单刀双掷构成,常开开关的下边两个引脚与5V电源相连,单刀双掷开关与多谐振荡器的输出端相连,上面的两个引脚与计数器的输出进位端相连,这样当计时出现偏差时,先拨动单刀双掷开关使时钟停止计时,在通过拨动常开开关来调整数码管上的时间。

4.5整点报时与定点报时模块电路设计

图13 整点报时与定点报时模块电路图

整点报时电路的输入引脚(U23)依次接到秒计时电路十位和个位的输出端QD、QC、QB、QA。同样的,U24的引脚一次连接到分计时模块输出端QD、QC、QB、QA上。当时钟运行到“00”分、“00秒”时,二输入或非门7428(U25A)输出高电平,此时,1KHz蜂鸣器发出相对高频声响。当数字时钟运行在59分50秒与59分50秒与59分59秒期间时,200Hz蜂鸣器发出相对低频声响。这样就达到了设计要求。

图14 多功能数字时钟顶层电路

5. 仿真调试过程

我们在设计和仿真调试过程中遇到了不少问题。

(1)再整点定点报时整合电路中,因引脚命名重复而被系统自动改为了原命名,导致接线错误,我们把连写搭在一起,共用一个引脚从而解决了重名问题。

(2)在二十四进制计数电路图中,我们一开始采用了74LS00,但由于驱动能力差,我们用与非门74LS03来代替,解决了问题.

(3)在电路连接过程中,由于电路复杂,在连接过程中出现过看错连线的情况,导致电路最终运行失败,所以,我们就采用了分步的连接方式把每一个模块分别先做好,最后进行连接,这样就避免了连线时看错的问题。

(4)我们一开始采用的时钟脉冲是函数发生器,但是因为连接错误,所以使电路不能够正常工作,后来我们选择采用555计时器构成多频振荡器,通过调节参数来产生1Hz的数字脉冲,最终使电路正常运行。

(5)所有分支电路模块都做好后,产生的封装模块引脚顺序不同,影响了顶层电路总线的连接,我们更改了引脚的位置,也学会了设置总线的方法。

6. 收音机原理及焊接调试

6.1收音机原理

如图15所示。由B1及C1-A组成的天线调谐回路感应出广播电台的调幅信号,选出我们所需的电台信号f1进入V1基极,本振信号调谐在高出f1一个中频(465KHz)的f2进入V1发射极,由V1三极管进行变频(或称混频),在V1集电极回路通过B3选取出f2与f1的差频(465KHz中频)信号;中频信号经V2和V3二级中频放大,进入V4检波管,检出音频信号经V5低频放大和由V6、V7组成变压器耦合功率放大器进行功率放大,推动扬声器发声。图中D1、D2组成1.3V±0.1V稳压,提供变频、一中放、二中放、低放的基极电压,稳定各级工作电流,保证整机灵敏度。V4发射结结用作检波。R1、R4、R6、R10分别为V1、V2、V3、V5的工作点调整电阻,R11为V6、V7功放级的工作点调整电阻,R8为中放的AGC 电阻,B3、B4、B5为中周(内置谐振电容),既是放大器的交流负载又是中频选频器,该机的灵敏度、选择性等指标靠中频放大器保证。B6、B7为音频变压器,起交流负载及阻抗匹配的作用。本机由3V直流电压供电。为了提高功放的输出功率,因此,3V直流电压经滤波电容C15去耦滤波后,直接给低频功率放大器供电。而前面各级电路是用3V直流电压经过由R12、V D1、V D2组成的简单稳压电路稳压后(稳定电压约为 1.4V)供电。目的是用来提高各级电路静态工作点的稳定性。(“×”为各级Ic工作电流测试点)

图15 电原理图

输入回路:

由磁性天线感应得到的高频信号,实际上是高频载波信号(由于声波在空中

传播速度很慢,衰减快。因此将音频信号加载到高频信号上去称为调制。调制方式有调频和调幅之分。我们装的收音机接收的是调幅高频信号)经过LC调谐回路加以选择到欲接收电台信号。(为使收音机获得较高选择性、灵敏度,应选合适L1与L2 匝数比。

变频电路:

由输入回路送来的高频信号是调幅波,本机振荡产生的本振频率信号是等幅波,混频后经选频得到465KHZ 中频信号。因此变频级主要作用:是将调幅的高频信号变为调幅的中频信号。变换前后仅是载波频率改变,而信号包络不变。本机用一只变频管来完成该机的振荡和混频作用。对混频来讲,要求工作在非线性区,电流不能太大,否则变频增益下降,但对本振来讲,电流大一点,变频增益高又容易起振,电池下降不易停振。但振荡也不能太强,否则波形失真引起“咯”、“咯”声,增益反而下降,一般选电流为0.4~0.6MA。

中频放大:

中放级的好坏对收音机灵敏度、选择性等等有决定性影响。中放级工作频率是465KHZ用并联的LC 谐振回路作负载,因此只有在信号频率为465KHZ时并联谐振回路电压最大,因此提高了整机选择性。本机采用一级中放(常用的为二级中放)单调谐中频放大器,选择性及灵敏度不一定十分理想,但回路损耗小,调整方便,因此袖珍机广泛采用此线路。

检波级:

中频信号仍旧是调幅信号,经过检波级,由二极管或三极管检波,从调幅波中取出音频信号。本机选用的是三极管利用其中一个PN结在非线性工作状态下起大信号检波作用,同时此管还进行来复低频电流放大。

低放和功率放大:

检波后的音频信号送到低放级进行音频放大,然后通过输入变压器送到推挽功率放大级进行功率放大,输出信号推动扬声器发出声音。

本机用推挽功放电路的管子工作在乙类状态。在无信号时截止,有信号时二管轮流工作,因此效率高,但乙类工作在小信号,在特性曲线弯曲部分产生失真。因此本机线路在无信号时基级也有一定的偏压,使之工作在甲乙类状态,这样效率高,输出功率大,而且省电。要求二只管子参数一致。凡一有一只管损坏,

必须配对选管。

6.2收音机焊接工艺要求

先装低矮和耐热元件(如电阻),然后再装大元件(如中周,变压器),最后再装怕热元件(如二极管,三极管)。

(1)电阻的安装:先将阻值阻值选择好,然后根据两孔的距离弯曲电阻脚立式

插装或卧式插装在电路板上进行安装,高度要求统一。我们要按R1—R16的顺序焊接,以免漏掉电阻。

(2)电容和三极管的安装:电容和三极管的脚剪得长度要适中,它们不要超过

中周的高度。焊电解电容时,特别要注意长脚是"+"极,短脚是"-"极。

电解电容紧贴线路板立式安装焊接,太高会影响后盖的安装。

(3)磁棒线圈的四根引线头可以直接用电烙铁配合松香焊锡丝来回摩擦几次,

既可以自动镶上焊锡丝,四个线头对应的焊在线路板上。

(4)由于调谐用的双连拨盘安装时离电路板很近,所以在它的圆周内的高出部

分的元件脚在焊接前用斜口钳剪去,以免安装或调谐时有故障,影响拨盘调谐的元件有T2的引脚、双连的三个引脚、电位器的开关脚和一个引脚;

(5)喇叭安装挪位后用喇叭压板和螺丝固定;

(6)焊接要控制好焊锡的量,并且要防止虚焊。

6.3收音机调试过程

测试:在元器件装配焊接无误后,将机器接通电源,即可进行调试工作。用万用表50毫安档分别对A.B.C.D.E的电流进行测试,如果在正常值范围内(小于10mA)即将它们焊接起来。

调试:用万用表Ω×1档黑表棒接地,红表棒从后级往前级寻找,对照原理图,从喇叭开始,顺着信号传播方向逐级往前碰触,喇叭应发出“喀喀”声。当碰触到哪级无声时,则故障就在该级,可测量工作点是否正常,并检查有无接错、焊错、塔焊、虚焊等。一切成功后,即可调台收听

发现的错误与改正:在调试过程中,我的收音机一开始并没有响,检查出原因为中周的金属外壳和电阻相触造成电路短路,这时候我为了收音机能正常工作,也

不在乎其是否美观,将电阻与其中周分开,果然收音机可以正常工作了。

7. 设计体会及收获

由于在大一时电子工艺实习小学期中已经有过焊接模拟电子蜡烛、流水灯、循迹小车的经历,在这次焊接收音机的过程中使用电烙铁也更加得心应手,对焊接工艺要求的认识也更加清晰了,这次焊接收音机总体来讲过程是比较顺利的。通过大二上的数字电子和模拟电子学习,对电子技术有了一些初步的了解,但那些终究使一些理论的东西。通过在这次课程设计实习中对数字时钟的设计,我不仅巩固了以前在课堂上学过的理论知识,在实际操作中也学会了如何去合理的运用每一个器件去搭建自己想要的电路,熟悉了设计的具体步骤,也加深了我对电子程序设计的理解。对于一些器件的具体功能,我们也有了进一步的认识,知道了如何用74LS160计数、置数、保持、异步清零的功能制作二十四进制、六十进制计数器;用555构成的多频振荡器来发出1Hz的信号;也对分秒的设计以及其工作原理有所认识。在课程设计过程中,我掌握了使用Multisim软件的基本方法,快速查找自己需要的器件,封装的具体过程,设置总线的方法,也学会了如何对自己设计出的电路进行仿真与调试。

回顾这次课程设计,从选题到设计,从理论到实践,在这一过程中我学到了很多在课堂上学不到的知识。掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法;进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力;提高电路布局﹑布线及检查和排除故障的能力;培养书写综合实验报告的能力。在本次设计中,我们还需要大量的以前没有学到过的知识,于是图书馆和网络成了我们很好的助手。在查阅资料的过程中,我们要判断优劣、取舍相关知识,不知不觉中我们查阅资料的能力也得到了很好的锻炼。我们学习的知识是有限的,在以后的工作中我们肯定会遇到许多未知的领域,这方面的能力便会使我们受益非浅。而且也是一个我们不断地学习的过程.这一段设计使本人自己提高了动手动脑,独立思考的能力,团队合作精神,还学会了解决问题的思路,为我今后学习工作打下了一定的基础。

《数字逻辑》数字时钟课程设计报告资料

《数字逻辑》课程设计报告 题目数字时钟 学院(部)信息工程学院 专业计算机科学与技术 班级计算机一班 学生姓名 学号20132402 6 月29 日至 7 月 3 日共1 周 指导教师(签字)

题目 一.摘要: 钟表的数字化给人们的生产生活带来了极大的方便,并且极大的扩展了钟表原先的报时功能。诸如定时自动报有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常警、学校的按时自动打铃、时间程序自动控制、定时广播、定时启闭路灯,甚至各种定时电气的自启用等。所现实的意义。本次数电课设我组设计的数字时钟是由石英晶体振荡器、分频器、计数器、译码器、显示器、校时电路、报时电路和计时电路组成,石英晶体振荡器产生的信号经过分频器作为秒脉冲,秒脉冲送入计数器计数,计数结果通过“时”、“分”、“秒”译码器在七段显示器上显示时间。 二.关键词: 校时计时报时分频石英晶体振荡器 三.技术要求: 1、有“时”、“分”、“秒”(23小时59分59秒)显示且有校时功能; 2、有计时功能,时钟不会在计时的时候停下。计时范围是0~99秒; 3、有闹铃功能,闹铃响的时间由使用者自己设置,闹铃时间至少一分钟; 4、要在七段显示器(共阴极6片)显示时间; 5、电子钟要准确正常地工作。 四、方案论证与选择: 钟表的是长期使用的器件,误差容易积累由此增大。所以要求分频器产生的秒脉冲要极其准确。而石英晶体产生的信号是非常稳定的,所以我们使用石英晶体产生的信号经过分频电路作为秒脉冲。秒脉冲信号经过6级计数器,分别得到“秒”、“分”、“时”的个位、十位的计时。由实际的要求,“秒”、“分”计数器为60进制的计数器,小时为24进制。由于74LS160十进制加法计数器易于理解使用,我们在设计各个计数器时都是由采用74LS160芯片级联构成。在计时部分,最小单位是0.01s,我们采用555多谐振荡器产生100HZ的信号作为秒脉冲进入一个4级计数器,计时范围是0~99秒。石英晶体

多功能数字钟的VHDL设计

毕业设计论文 多功能数字钟的VHDL设计 系 xxxxxxxxxxxxxxxxx 专业 xxxxxxxxxxxxx 学号 xxxxxxxxxxx 姓名 xxxxxxx 班级 xxxxxxxxxxxx 指导老师 xxxxxxxxxx 职称 指导老师职称 毕业设计时间 2009年11月——2010年1月

摘要:应用VHDL语言编程,进行了多功能数字钟的设计,并在MAX PLUSⅡ环境下通过了编译、仿真、调试。 关键词:VHDL;EDA;数字钟;仿真图 0.引言 随着科学技术的迅猛发展,电子工业界经历了巨大的飞跃。集成电路的设计正朝着速度快、性能高、容量大、体积小和微功耗的方向发展。基于这种情况,可编程逻辑器件的出现和发展大大改变了传统的系统设计方法。可编程逻辑器件和相应的设计技术体现在三个主要方面:一是可编程逻辑器件的芯片技术;二是适用于可逻辑编程器件的硬件编程技术,三是可编程逻辑器件设计的EDA开发工具,它主要用来进行可编程逻辑器件应用的具体实现。在本实验中采用了集成度较高的FPGA 可编程逻辑器件, 选用了VHDL硬件描述语言和MAX + p lusⅡ开发软件。VHDL硬件描述语言在电子设计自动化( EDA)中扮演着重要的角色。由于采用了具有多层次描述系统硬件功能的能力的“自顶向下”( Top - Down)和基于库(L ibrary - Based)的全新设计方法,它使设计师们摆脱了大量的辅助设计工作,而把精力集中于创造性的方案与概念构思上,用新的思路来发掘硬件设备的潜力,从而极大地提高了设计效率,缩短 了产品的研制周期。MAX + p lusⅡ是集成了编辑器、仿真工具、检查/分析工具和优化/综合工具的这些所有开发工具的一种集成的开发环境,通过该开发环境能够很方便的检验设计的仿真结果以及建立起与可编程逻辑器件的管脚之间对应的关系。 1. EDA简介 20世纪90年代,国际上电子和计算机技术较先进的国家,一直在积极探索新的电子电路设计方法,并在设计方法、工具等方面进行了彻底的变革,取得了巨大成功。在电子技术设计领域,可编程逻辑器件(如CPLD、FPGA)的应用,已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。 EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL 完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。 这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。

数字时钟设计原理

数字时钟设计——原理图一.实验目的 设计一个多功能数字中电路,基本功能为:①准确计时,以数字形式显示分、秒的时间;②分和秒的计时要求为60进位;③校正时间。 二.设计框图和工作原理 由振荡器产生高稳定的高频脉冲信号,作为数字钟的时间基准(系统时钟),再经分频器输出标准秒脉冲信号。秒计数器计满60后向分计数器进位,分计数器计满60后重新开始计时。计数器的输出经译码器送显示器。计时出现误差时可以用校时电路进行校分。 三.设计方案

1.振荡器的设计 振荡器是数字钟的核心。振荡器的稳定度及频率的精确度决定了数字钟计时的准确程度,通常选用石英晶体构成振荡器电路。一般来说,振荡器的频率越高,计时精度越高。 在这里我们选用由集成电路定时器555与RC组成的多谐振荡器。这里选用555构成的多谐振荡器,输出振荡频率v0=1KHz的脉冲,电路参数如下图所示。 2.分频器的设计 选用3片中规模集成电路计数器74LS90可以完成分频功能。因为每片为1/10分频,3片级联则可获得所需要的频率信号,即第1片的Q3端输出频率为100HZ,第2片的Q3端输出为10Hz,第3片的Q3端输出为1Hz。分频电路如下图所示:

3.分秒计数器的设计 分和秒计数器都是模M=60的计数器,其计数规律为:00-01-… -58-59-00…选74LS92作十位计数器,74LS90作个位计数器。再将它们级联组成模数M=60的计数器。分秒计数电路如下: 74LS90的原理图如下: 74LS92的原理图如下: 4.校时电路的设计 当数字钟接通电源或者计时出现误差时,需要校正时间(或称校时)。校时是数字钟应具备的基本功能。一般电子手表都具有时、分、秒等校时功能。为使

简易数字钟的设计

中文摘要 数字钟已经成为人们日常生活中不可缺少的必需品,广发应用于家庭及办公室等公共场所,给人们的生活、学习、工作及娱乐带来了极大的方便。由于数字集成电路技术的发展和采用了先进的石英技术,使得数字钟具有走时准确、性能稳定、携带方便等优点,它还用于计时、自动报时及自动控制等各个领域。尽管目前市场上已有现成的数字钟集成电路芯片出售,价格便宜、使用方便,但鉴于单片机的定时器功能也可以完成数字钟的设计,因此进行数字的设计是必要的。在这里我们将已学过的比较零散的数字电路的知识有机的、系统的联系起来用于实际,来培养我们的综合分析和设计电路,写程序、调试电路的能力。 单片机具有体积小、功能强、可靠性高、价格低廉等一系列优点,不仅已成为工业测控领域普遍采用的智能化控制工具,而且已渗入到人们工作和生活的各个角落,有力地推动了各行各业的技术改造和产品的更新换代,应用前景广阔。 本次做的数字钟是以单片机(AT89C51)为核心,结合相关的元器件(共阴极LED 数码显示器等),再配以相应的软件,达到制作简易数字钟的目的。硬件部分采用了单片机原理实验室的实验箱进行合理接线调试;软件部分通过keil进行了C程序的修改编译,protues软件仿真等。最终在实验箱上实现了与仿真结果相同的实际效果。 关键词单片机定时功能、AT89C51、共阴LED、Keil、Protues软件。

Abstract Microelectronics and computer technology along with the rapid development and progress, making the design of electronic systems and applications have entered a new era. The traditional manual design process is being advanced electronic design automation technology to replace. And is currently supporting modern technology has become the universal platform for electronic design, and step by step to support the development of system-level design. Only to hardware description language and logic synthesis-based top-down design methodology to meet the increasingly complex needs of digital system design. The progressive development of the taxi industry, the taxi meter is getting higher and higher requirements, the user requires not only the performance of the stability of billing, billing and accurate anti-cheat functions; and as a result of the instability in oil prices, billing system the need for regular adjustment of the meter so that users can request not to change the hardware to facilitate the billing system modifications. The system is the use of language, it can make use of digital circuits and system description, simulation and automatic design, and software as a development platform designed billing system procedures taxi and carried out a simulation program. To the achievement of pre-billing and simulation, as well as car to start, stop, pause and other functions, and dynamic scan shows the number of fares. Key Words Microcontroller\、AT89C51、7SEG-MPX6-CC-RED 、Keil、Proteus

数字时钟课程设计报告

《电子线路课程设计报告》 系另 1」: 机电与自动化学院 专业班级:电气及自动化技术1001 学生姓名:陈星涯 指导教师:梁宗善 i=r (课程设计时 间: 2012年1月3日——2012年1月13日) 华中科技大学武昌分校 1.课程设计目的................................................. 3页 2.课程设计题目描述和要求....................................... 3页 2.1课程设计题目............................................. 3页

2.2课程设计要求............................................. 3页 3. ......................................................................................................... 比较和选定设计的系统方案.................................................... 4页 3.1数字钟的构成............................................. 4页 4.单元电路设计及工作原理....................................... 5页 4.1时基电路................................................. 5页 a. 多谐振荡器的工作原理................................... 5页 4.2计数器................................................... 7页 a.中规模计数器组件介绍.................................. 7页 b.60 进制计数器 .......................................... 8页 C.12 翻1计数器........................................... 9页 4.3译码器................................................... 10页 4.4显示器................................................... 10页 4.5校时电路................................................. 11页 4.6定时控制电路............................................. 12页 4.7仿广播电台正点报时电路................................... 13页 5.调试过程及分析............................................... 14页 5.1显示器故障排查........................................... 14页 5.2计数器调试及分析......................................... 15页 5.3校时电路的调试........................................... 16页 5.4增加抗干扰电路........................................... 16页 5.5闹时电路的调试........................................... 17页 5.6仿广播电台整点报时电路调试............................... 17页 6.课程设计总结................................................. 17页 7.参考文献..................................................... 19页 8.附件一:电子时钟主体电路电路图............................... 20页 9.附件二:扩展电路电路图....................................... 21页 10.附件三:系统所需元器件清单 ................................ 22页 11.课程设计成绩.............................................. 23页 一、设计任务与目的 数字时钟是一种利用数字电路技术实现时、分、秒计时的装置,与传统的

多功能数字钟设计

摘要 本实验是利用QuartusII 7.0软件设计一个多功能数字钟,进行试验设计和仿真调试,实现了计时,校时,校分,清零,保持和整点报时等多种基本功能,并下载到SmartSOPC实验系统中进行调试和验证。此外还添加了显示星期,闹钟设定等附加功能,使得设计的数字钟的功能更加完善。 关键字:QuartusII、数字钟、多功能、仿真 Abstract This experiment is to design a digital clock which is based on Quartus software and in which many basic functions like time-counting,hour-correcting,minute-correcting,reset,time-holding and belling on the hour. And then validated the design on the experimental board. In addition, additional functions like displaying and resetting the week and setting alarm make this digital clock a perfect one. Key words: Quartus digital-clock multi-function simulate

目录 一.设计要求 (4) 二.工作原理 (4) 三.各模块说明 (5) 1)分频模块 (5) 2)计时模块 (7) 3)动态显示模块 (9) 4)校分与校时模块 (10) 5)清零模块 (11) 6)保持模块 (12) 7)报时模块 (12) 四.扩展模块 (12) 1)星期模块 (12) 2)闹钟模块 (13) 五.总电路的形成 (16) 六.调试、编程下载 (17) 七.实验感想 (17) 八.参考文献 (20)

数字时钟设计实验报告47686

word专业整理 电子课程设计 题目:数字时钟

数字时钟设计实验报告 一、设计要求: 设计一个24小时制的数字时钟。 要求:计时、显示精度到秒;有校时功能。采用中小规模集成电路设计。 发挥:增加闹钟功能。 二、设计方案: 由秒时钟信号发生器、计时电路和校时电路构成电路。 秒时钟信号发生器可由振荡器和分频器构成。 计时电路中采用两个60进制计数器分别完成秒计时和分计时;24进制计数器完成时计时;采用译码器将计数器的输出译码后送七段数码管显示。 校时电路采用开关控制时、分、秒计数器的时钟信号为校时脉冲以完成校时。 三、电路框图: 图一 数字时钟电路框图 四、电路原理图: (一)秒脉冲信号发生器 秒脉冲信号发生器是数字电子钟的核心部分,它的精度和稳定度决定了数字钟的质 译码器 译码器 译码器 时计数器 (24进制) 分计数器 (60进制) 秒计数器 (60进制) 校 时 电 路 秒信号发生器

量。由振荡器与分频器组合产生秒脉冲信号。 ?振荡器: 通常用555定时器与RC构成的多谐振荡器,经过调整输出1000Hz 脉冲。 ?分频器: 分频器功能主要有两个,一是产生标准秒脉冲信号,一是提供功能 扩展电路所需要的信号,选用三片74LS290进行级联,因为每片为1/10分频器,三片级联好获得1Hz标准秒脉冲。其电路图如下: 图二秒脉冲信号发生器 (二)秒、分、时计时器电路设计 秒、分计数器为60进制计数器,小时计数器为24进制计数器。 ?60进制——秒计数器 秒的个位部分为逢十进一,十位部分为逢六进一,从而共同完成60进制计数器。当计数到59时清零并重新开始计数。秒的个位部分的设计:利用十进制计数器CD40110设计10进制计数器显示秒的个位。个位计数器由0增加到9时产生进位,连在十位部计数器脉冲输入端CP,从而实现10进制计数和进位功能。利用74LS161和74LS11设计6进制计数器显示秒的十位,当十位计数器由0增加到5时利用74LS11与门产生一个高电平

数字电子时钟课程设计总结报告

《数字逻辑电路设计》课程设计 总结报告 题目:数字电子钟设计 指导教师: 设计人员: (学号): 班级:

日期:2018年12月

一.设计任务书 任务:数字电子钟设计 基本设计要求:仿真实现数字电子钟 1.要求能显示“时”“分”“秒” 2.时24小时,分60分钟,秒60。 3.能够校时,校分 电路在实验箱上实现 二.设计框图及整机概述 设计框图: 概述:数字电子时钟电路系统由秒信号发生器、校分校时电路、“时、分、秒”计数器和“时、分、秒”显示器组成。秒信号发生器将秒信号送入秒计时器,秒计时器为六十进制计数器,每计六十个数便发送分脉冲信号给分计数器,分计数器也为六十进制计数器,每计六十个数便发送时脉冲信号给时计数器,时计数器是二十四进制计数器。“时、分、秒”显示器将计数器输

出的状态显示出来。 三.各单元电路的设计方案及原理说明 1.六十进制计数器 计数器是对cp脉冲进行计数的时序逻辑电路。“分”和“秒” 的计数由六十进制计数器实现,74LS161为16进制计数器, 两片74LS161EP和ET恒为1,均工作在计数状态,当分个位 和秒个位计数器计到9(1001)时,CLOR端为高电平,经反 相器后使时位CLK端为低电平。当下一个计数输入脉冲到达后,个位记成0(0000),此时CLOR端跳回低电平,时位计数1。 计数器从0开始计数,当计入60个脉冲时,经与非门产生低 电平,立即将两片74LS161同时置零,得到60进制计数器。 2.二十四进制计数器 时的计数由二十四进制计数器实现,当计入24个脉冲的

时候,经与非门产生的低电平信号即将两片74LS161同时置零,得到二十四进制计数器。 3.显示电路 计数器输出的是8421BCD码,需译码器将其转为阿拉伯数字。 4.校时电路 利用校时电路截断分十位和时十位的直接计数通路,当校时电路中的开关截断时,其中的与非门一端接高电平,另一端接秒/分十位的进位输出端,若秒/分十位的进位输出端输出的是低电平,则分/时个位的CLK有低电平的信号输入,此时得到

多功能数字时钟设计

课程设计报告 学生姓名:刘佳 学 号:2017307010102 学院:电气工程学院 班级:通信171 题目:多功能数字时钟设计 指导教师:刘晓峰职称: 高级实验师指导教师:杨修宇职称: 实验师 2018 年 12 月 28 日

目录 1. 设计要求 (3) 2. 设计原理及框图 (3) 2.1 模块组成 (3) 3. 器件说明 (4) 4. 设计过程 (8) 4.1显示电路模块设计 (8) 4.2时钟脉冲电路模块设计 (9) 4.3计时模块电路设计 (10) 4.4计时校时控制模块电路设计 (11) 4.5整点报时与定点报时模块电路设计 (12) 5. 仿真调试过程 (13) 6. 收音机原理及焊接调试 (14) 6.1收音机原理 (14) 6.2收音机焊接工艺要求 (16) 6.3收音机调试过程 (16) 7. 设计体会及收获 (17)

1. 设计要求 (1)以24小时为一个计时周期,稳定的显示时、分、秒。 (2)当电路发生走时误差时,可以对所设计的时钟进行校时。 (3)电路有整点报时功能。报时声响为四低一高,最后一响高音正好为整点。 (4)电路具有闹钟功能,当闹钟所设定时间与时钟计时相同时,发出提示音, 时长为一分钟。 2. 设计原理及框图 2.1 模块组成 多功能数字时钟由时钟脉冲电路模块、秒计时模块、分计时模块、时计时模块、显示模块、计时校时控制模块、定点报时模块与整点报时模块组。如图1所示。 图1 多功能数字时钟原理框图 多功能数字时钟由时钟脉冲电路模块、秒计时模块、分计时模块、时计时模块、显示模块、计时校时控制模块、定点报时模块与整点报时模块组成。时钟脉冲电路模块由振荡电路与分频电路组成,为数字时钟提供秒脉冲信号、定点整点报时信号以及调试信号。计时电路包括“秒”计时、“分”计时与“时”计时电

数字电子钟课程设计实验报告

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计任务书2016/2017 学年第一学期 学生姓名:张涛学号: 李子鹏学号: 课程设计题目:数字电子钟的设计 起迄日期:2017年1月4日~2017年7月10日 课程设计地点:科学楼 指导教师:姚爱琴 2017年月日 课程设计任务书

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计开题报告2016/2017 学年第一学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号:

指导教师:姚爱琴 2017 年 1 月 6 日 中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计说明书2016/2017 学年第二学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号: 指导教师:姚爱琴 2017 年月日

目录 1 引言 (6) 2 数字电子钟设计方案 (6) 2.1 数字计时器的设计思想 (6) 2.2数字电路设计及元器件参数选择 (6) 2.2.2 时、分、秒计数器 (7) 2.2.3 计数显示电路 (8) 2.2.5 整点报时电路 (10) 2.2.6 总体电路 (10) 2.3 安装与调试 (11) 2.3.1 数字电子钟PCB图 (11) 3 设计单元原理说明 (11) 3.1 555定时器原理 (12) 3.2 计数器原理 (12) 3.3 译码和数码显示电路原理 (12) 3.4 校时电路原理 (12) 4 心得与体会 (12) 1 引言 数字钟是一种用数字电子技术实现时,分,秒计时的装置,具有较高的准确性和直观性等各方面的优势,而得到广泛的应用。此次设计数字电子钟是为了了解数字钟的原理,在设计数字电子钟的过程中,用数字电子技术的理论和制作实践相结合,进一步加深数字电子技术课程知识的理解和应用,同时学会使用Multisim电子设计软件。 2数字电子钟设计方案 2.1 数字计时器的设计思想 要想构成数字钟,首先应选择一个脉冲源——能自动地产生稳定的标准时间脉冲信号。而脉冲源产生的脉冲信号地频率较高,因此,需要进行分频,使得高频脉冲信号变成适合于计时的低频脉冲信号,即“秒脉冲信号”(频率为1Hz)。经过分频器输出的秒脉冲信号到计数器中进行计数。由于计时的规律是:60秒=1分,60分=1小时,24小时=1天,就需要分别设计60进制,24进制计数器,并发出驱动信号。各计数器输出信号经译码器、驱动器到数字显示器,是“时”、“分”、“秒”得以数字显示出来。 值得注意的是:任何记时装置都有误差,因此应考虑校准时间电路。校时电路一般

简易数字钟设计(已仿真)

简易数字钟设计 摘 要 本文针对简易数字钟的设计要求,提出了两种整体设计方案,在比较两个方案的优缺点后,选择了其中较优的一个方案,进行由上而下层次化的设计,先定义和规定各个模块的结构,再对模块内部进行详细设计。详细设计的时候又根据可采用的芯片,分析各芯片是否适合本次设计,选择较合适的芯片进行设计, 最后将设计好的模块组合调试,并最终在EWB 下仿真通过。 关键词 数字钟,EWB ,74LS160,总线,三态门,子电路 一、引言:所谓数字钟,是指利用电子电路构成的计时器。相对机械钟而言,数字钟能达到准确计时,并显示小时、分、秒,同时能对该钟进行调整。在此基础上,还能够实现整点报时,定时报闹等功能。 设计过程采用系统设计的方法,先分析任务,得到系统要求,然后进行总体设计,划分子系统,然后进行详细设计,决定各个功能子系统中的内部电路,最后进行测试。 二、任务分析:能按时钟功能进行小时、分钟、秒计时,并显示时间及调整时间,能整点报时,定点报时,使用4个数码管,能切换显示。 总体设计 本阶段的任务是根据任务要求进行模块划分,提出方案,并进行比较分析,最终找到较优的方案。 方案一、采用异步电路,数据选择器 将时钟信号输给秒模块,秒模块的进位输给分模块,分模块进位输入给时模块,切换的时候使用2选1数据选择器进行切换,电路框图如下: 该方案的优点是模块内部简单,基本不需要额外的电路,但缺点也很明显,该方案结构不清晰,模块间关系混乱,模块外还需使用较多门电路,不利于功能扩充,且使用了异步电路,计数在59的时候,高一级马上进位,故本次设计不采用此方案。 方案二、采用同步电路,总线结构 时钟信号分别加到各个模块,各个模块功能相对独立,框图如下: 显示 切换 秒钟 分钟 小时 控制 1Hz 脉冲信号 闹钟

数字闹钟课程设计报告

数字电路实验课程设计报告 数字闹钟

第一部分设计任务 1.1设计任务 设计、制作一个带有校时功能、可定时起闹的数字闹钟。 1.2设计指标 1、有“时”、“分”十进制显示,“秒”使用分个位数码管上的DP点显 示。 2、计时以24小时为周期。(23:59→00:00) 3、具有校时电路,可进行分、时较对。 4、走时过程能按预设的定时时间(精确到小时)启动闹钟产生闹铃, 闹铃响时约3s。

第二部分设计方案 2.1总体设计方案说明 系统组成: 秒信号发生器:由LM555构成多谐振荡器 走时电路:计数器和与非门组成 校时电路:秒信号调节 闹钟电路:跳线的方法由计数器、译码器、组合逻辑电路、单稳态电路组成 显示电路:译码器数码管 模块结构与方框图

第三部分 电路设计与器件选择 3.1 秒信号发生器 3.1.1模块电路及参数计算 提供秒脉冲 取R1=1.5K,R2=2.4K C=220uF T≈1S 3.1.2工作原理和功能说明 3.1.3器件说明 内部电路组成: (1)分压器(3 个R) (2) 电压比较器 (A1、A2) (3)RS 触发器 (4)反相器 (5)晶体管T 1端GND 地 2端TR 低电平触发输入 3端UO 输出 4端RD 直接清0 5端CV 电压控制,不用 时经0.01 F 电容 接地 6端TH 高电平触发输入 7端D 三极管集电极 8端VCC 电源(4.5V~18V) C R T C R R T PL PH 2217.0)(7.0=+=z 11 H T f == C R R T T T PL PH )2(7.021+=+=

数电课程设计多功能数字钟的电路设计

课程设计任务书 学生姓名: XXX 专业班级: 指导教师:工作单位: 题目: 多功能数字钟电路设计 初始条件:74LS390,74LS48,数码显示器BS202各6片,74LS00 3片,74LS04,74LS08各 1片,电阻若干,电容,开关各2个,蜂鸣器1个,导线若干。 要求完成的主要任务: 用中、小规模集成电路设计一台能显示日、时、分秒的数字电子钟,要求如下: 1.由晶振电路产生1HZ标准秒信号。 2.秒、分为00-59六十进制计数器。 3.时为00-23二十四进制计数器。 4.可手动校正:能分别进行秒、分、时的校正。只要将开关置于手动位置。可分别对秒、分、时进行连续脉冲输入调整。 5.整点报时。整点报时电路要求在每个整点前鸣叫五次低音(500HZ),整点时再鸣叫一次高音(1000HZ)。 指导教师签名:年月日 系主任(或责任教师)签名:年月日 多功能数字钟电路设计 摘要 (1) Abstract (2) 1系统原理框图 (3) 2方案设计与论证 (4)

2.1时间脉冲产生电路 (4) 2.2分频器电路 (6) 2.3时间计数器电路 (7) 2.4译码驱动及显示单元电路 (8) 2.5校时电路 (8) 2.6报时电路 (10) 3单元电路的设计 (12) 3.1时间脉冲产生电路的设计 (12) 3.2计数电路的设计 (12) 3.2.1 60进制计数器的设计 (12) 3.2.2 24进制计数器的设计 (13) 3.3译码及驱动显示电路 (14) 3.4 校时电路的设计 (14) 3.5 报时电路 (16) 3.6电路总图 (17) 4仿真结果及分析 (18) 4.1时钟结果仿真 (18) 4.2 秒钟个位时序图 (18) 4.3报时电路时序图 (19) 4.4测试结果分析 (19) 5心得与体会 (20) 6参考文献 (21) 附录1原件清单 (22) 附录2部分芯片引脚图与功能表 (23) 74HC390引脚图与功能表 (23)

数字时钟设计实验报告.docx

电了课程设计 题目:数字时钟

数字时钟设计实验报告 、设计要求: 设计一个24小时制的数字时钟。 要求:计时、显示精度到秒;有校时功能。采用中小规模集成电路设计。发挥:增加闹钟功能。 二——、设计方案: 由秒时钟信号发生器、计时电路和校时电路构成电路。 秒时钟信号发生器可由振荡器和分频器构成。 计时电路中采用两个60进制计数器分别完成秒计时和分计时;24进制计数器完成时计时;采用 译码器将计数器的输出译码后送七段数码管显示。 校时电路采用开关控制时、分、秒计数器的时钟信号为校时脉冲以完成校时。 三、电路框图: 四、电路原理图: (一)秒脉冲信号发生器 秒脉冲信号发生器是数字电子钟的核心部分,它的精度和稳定度决定了数字钟的质量。由振荡器与分频器组合产生秒脉冲信号。 振荡器:通常用555定时器与RC构成的多谐振荡器,经过调整输出100QHZ 脉冲。 分频器:分频器功能主要有两个,一是产生标准秒脉冲信号,一是提供功能 扩展电路所需要的信号,选用三片74LS290进行级联,因为每片为1/10分频器,三片级联好获得IHz标准秒脉冲。其电路图如下:

图三60进制--秒计数电路 <1 LI? (二)秒、分、时计时器电路设计 秒、分计数器为60进制计数器,小时计数器为24进制计数器 60进制一一秒计数器 秒的个位部分为逢十进一,十位部分为逢六进一,从而共同完成 60进制计数器。当 计数到59时清零并重新开始计数。秒的个位部分的设计:利用十进制计数器 CD4011(设 计10进制计数器显示秒的个位 。个位计数器由0增加到9时产生进位,连在十位部计数 器脉冲输入端CP ,从而实现10进制计数和进位功能。利用74LS161和74LS11设计6进 制计数器显示秒的十位,当十位计数器由0增加到5时利用74LS11与门产生一个高电平 接到个位、十位的CD4011C 的清零端,同时产生一个脉冲给分的个位。其电路图如下: 图二秒脉冲信号发生器 CKB CKA Rol NC Roe QA NC VrC GMD R91 QE R9S ClC ?∣0∏hrη A C ? D D B C- ^NQQ^QQ BICy X 1 £ W R0R0N C VC Tffl DI5 GVT M RES OTN CKB CKA Rol NC R02 QA NC QD VCC GND R91 QB R92 QC Z 一 --∏ I ∣Ξ?? 中 OlA DiLn+1;IIlr

数字钟设计实验报告

湖南工业职业技术学院项目制作报告书 项目名称:新大屏幕数字钟制作 所属课程:数字电子技术 系别电气工程 专业班级电信S2009-2 学生姓名易延烽 学号16 项目指导老师李佳老师 电子邮箱 联系Q Q 571040889 2011-1-1

新大屏幕数字钟的制作 一.设计目的 a.熟悉集成电路的引脚安排. b.掌握各芯片的逻辑功能及使用方法. c.了解面包板结构及其接线方法. d.了解数字钟的组成及工作原理. e.熟悉数字钟的设计与制作. 二.设计要求 1.a.时间以24小时为一个周期,显示时,分,秒; b.有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间; c.为了保证计时的稳定及准确须由晶体振荡器提供表针时间基准信号. 2..制作要求 a.画出电路原理图(或仿真电路图); b.元器件及参数选择; c.电路仿真与调试; 3.制作要求自行装配和调试,并能发现问题和解决问题. 4.编写设计报告写出设计与制作的全过程,附上有关资料和图纸,有心得体会. 三.设计原理及其框图 1.数字钟的构成 数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路.由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定.通常使用石英晶体振荡器电路构成数字钟.图(1)

. ⑴晶体振荡器电路 晶体振荡器电路给数字钟提供一个频率稳定准确的32768Hz的方波信号,可保证数字钟的走时准确及稳定.不管是指针式的电子钟还是数字显示的电子钟都使用了晶体振荡器电路. ⑵分频器电路 分频器电路将32768Hz的高频方波信号经32768()次分频后得到1Hz的方波信号供秒计数器进行计数.分频器实际上也就是计数器. ⑶时间计数器电路 时间计数电路由秒个位和秒十位计数器,分个位和分十位计数器及时个

多功能数字钟的设计与实现

多功能数字钟的设计与实现一、实验目的 1.掌握数字钟的设计原理。 2.用微机实验平台实现数字钟。 3.分析比较微机实现的数字钟和其他方法实现的数字钟。 二、实验内容与要求 使用微机实验平台实现数字钟。 1.基本要求如下: 1) 24 小时制时间显示。 2) 3) 4)可以随时进行时间校对。 整点报时。 闹钟功能,要求设置起闹时间时,不影响时钟的正常走时。 2.提高要求 1) 2)校时时相应位闪烁。能够设置多个起闹点。 三、实验报告要求 1.设计目的和内容 2.总体设计 3.硬件设计:原理图(接线图)及简要说明 4.软件设计框图及程序清单 5.设计结果和体会(包括遇到的问题及解决的方法) 四、系统总体设计 根据设计要求,初步思路如下: 1)计时单元由定时/计数器8253的通道0 来实现。定时采用硬件计数和软件技术相结合的方式,即通过8253产生一定的定时时间,然后再利用软件进行计数,从而实现24小时制定时。8253定时时间到了之后产生中断信号,8253在中断服务程序中实现时、分、秒的累加。 2)时间显示采用实验平台上的6个LED数码管分别显示时、分、秒,采用动态扫描方式实现。 3)校时和闹铃定时通过键盘电路和单脉冲产生单元来输入。按键包括校时键、闹钟定时键、加1键和减1键等。

4) 报警声响用蜂鸣器产生,将蜂鸣器接到 8255 的一个端口,通过输出电平的高低来 控制蜂鸣器的发声。 系统硬件设计主要利用微机实验平台上的电路模块。硬件电路主要由键盘电路、 单脉冲产生单元、8253 定时计数器、8255 并行接口单元、8259 中断控制器、LED 显 示电路和蜂鸣器电路等等。系统的硬件电路设计框图如图 1 所示。 图 1 硬件电路框图 五、硬件设计 根据设计思路,硬件电路可通过实验平台上的一些功能模块电路组成,由于实验平台 上的各个功能模块已经设计好,用户在使用时只要设计模块间电路的连接,因此,硬件电 路的设计及实现相对简单。完整系统的硬件连接如图 2 所示。硬件电路由定时模块、按键 模块、数码管显示模块和蜂鸣器模块组成。 Q6 路 图 2 系统硬件电路图 微机系统 8253 8255 8259 数码管显示 电路 键盘电路 蜂鸣器电路 单脉冲发生 单元 单脉冲发 生单元 键盘电路 8255 PA0 PB0 PC 8253 OUT0 GATE0 Clk0 地址 CS1 译码 CS2 电路 CS3 CS4 数码管显示模块 8259 IRQ2 IRQ3 IRQ5 PC BUS 蜂鸣器 单脉冲发 生单元

数字时钟设计实验报告

电子课程设计 题目:数字时钟

数字时钟设计实验报告 一、设计要求: 设计一个24小时制的数字时钟。 要求:计时、显示精度到秒;有校时功能。采用中小规模集成电路设计。 发挥:增加闹钟功能。 二、设计方案: 由秒时钟信号发生器、计时电路与校时电路构成电路。 秒时钟信号发生器可由振荡器与分频器构成。 计时电路中采用两个60进制计数器分别完成秒计时与分计时;24进制计数器完成时计时;采用译码器将计数器的输出译码后送七段数码管显示。 校时电路采用开关控制时、分、秒计数器的时钟信号为校时脉冲以完成校时。 三、电路框图: 图一 数字时钟电路框图 四、电路原理图: (一)秒脉冲信号发生器 秒脉冲信号发生器就是数字电子钟的核心部分,它的精度与稳定度决定了数字钟的质量。由振荡器与分频器组合产生秒脉冲信号。 ? 振荡器: 通常用555定时器与RC 构成的多谐振荡器,经过调整输出1000Hz 脉冲。 ? 分频器: 分频器功能主要有两个,一就是产生标准秒脉冲信号,一就是提供功能 扩展电路所需要的信号,选用三片74LS290进行级联,因为每片为1/10分频器,三片级联好获得1Hz 标准秒脉冲。其电路图如下: 译码器 译码器 译码器 时计数器 (24进制) 分计数器 (60进制) 秒计数器 (60进制) 校 时 电 路 秒信号发生器

图二秒脉冲信号发生器 (二)秒、分、时计时器电路设计 秒、分计数器为60进制计数器,小时计数器为24进制计数器。 ?60进制——秒计数器 秒的个位部分为逢十进一,十位部分为逢六进一,从而共同完成60进制计数器。当计数到59时清零并重新开始计数。秒的个位部分的设计:利用十进制计数器CD40110设计10进制计数器显示秒的个位。个位计数器由0增加到9时产生进位,连在十位部计数器脉冲输入端CP,从而实现10进制计数与进位功能。利用74LS161与74LS11设计6进制计数器显示秒的十位 ,当十位计数器由0增加到5时利用74LS11与门产生一个高电平接到个位、十位的CD40110的清零端,同时产生一个脉冲给分的个位。其电路图如下: 图三60进制--秒计数电路 ?60进制——分计数电路 分的个位部分为逢十进一,十位部分为逢六进一,从而共同完成60进制计数器。当计数到59时清零并重新开始计数。秒的个位部分的设计:来自秒计数电路的进位脉冲使分的个位加1,利用十进制计数器CD40110设计10进制计数器显示秒的个位。个位计数器由0

简易数字钟的设计

第三单元简易数字钟的设计 数字钟是一种用数字显示秒、分、时的计时装置,与传统的机械钟相比,它具有走时准确、显示直观、无需机械传动等优点。因而得到了广泛的应用。小到人们日常生活中的电子手表,大到车站、码头、机场等公共场所的大型数字电子钟,数字钟到处可见。 在数字电路的学习中,已经学习过用计数器芯片搭建数字钟。51单片机内部集成了定时器/计数器,这为构建数字钟带来了方便。在本单元中,学习如何用51单片机来构建一个功能数字钟。 【任务要求】 在6个数码管上显示时、分、秒,共6位数字。 通过单片机内部定时器控制走时,走时准确。 系统有四个按键,功能分别是调整时间,加,减,确定。在按下调整键时候,显示“时”的两位数码管以1 Hz 频率闪烁。如果再次按下调整键,则“分”开始闪 烁,“时”恢复正常显示,依次循环,直到按下确定键,恢复正常的显示。在数码 管闪烁的时候,按下加或者减键可以调整相应的显示内容。按键支持短按和长按, 即短按时,所修改的数字每次增加1或者减小1,长按时候以一定速率连续增加或 者减少10。 【学习知识点】 数码管的原理,驱动程序的实现。 51单片机内部定时器的原理及应用 独立按键的原理及程序的实现。 【内容安排】 第一节:数码管显示原理及应用实现 第二节:独立按键检测原理及应用实现 第三节:计时的原理及实现 第四节:基于定时器的程序改进 第五节:数字钟的构建

第一节数码管显示原理及应用实现 1.1 数码管显示原理 数字钟要把时间显示到数字显示装置上,常用的数字显示装置有数码管、液晶、LED、CRT显示器等。在单片机系统设计中,LED数码管是最基本的显示装置。在数字钟的设计中我们用数码管对中的小时、分和表来进行显示。 LED数码管能显示各种数字或符号,由于它具有显示清晰、亮度高、寿命长、价格低廉等特点,因此使用非常广泛。图1.1是几个数码管的图片:a图为单位数码管, b图为双位数码管,c图为四位数码管。 a 单位数码管 b 双位数码管 c 四位数码管 图1.1 数码管图片 那么数码管是如何的工作呢?还记得我们小时候玩过的“火柴棒游戏”吗,几根火柴组合起来,可以拼成各种各样的图形,数码管实际上就是利用这个原理做成的。 图1.2 单个数码管引脚标号,共阴和共阳的内部连接图

相关主题
文本预览
相关文档 最新文档