当前位置:文档之家› 8421码到余三循环码的转换电路仿真课设报告

8421码到余三循环码的转换电路仿真课设报告

8421码到余三循环码的转换电路仿真课设报告
8421码到余三循环码的转换电路仿真课设报告

东北大学秦皇岛分校计算机与通信工程院

电子线路课程设计

具有数显的数码转换电路(8421码—余3循环码)

课程设计任务书

专业:通信工程学号:4101015 学生姓名:吴玉新

设计题目:具有数显的码制转换电路8421码—余3循环码一、设计实验条件

高频实验室

二、设计任务及要求

1. 要求输入为8421码。输出为余三循环码

2. 输出要具有数显功能

三、设计报告的内容

1.前言

数字电路课程设计是继“数字电路”课后开出的实践环节课程其目的是训练学生综合运用学过的数字电路的基本知识独立设计比较复杂的数字电路能力。设计建立在硬件和软件两个平台的基础上。硬件平台是可编程逻辑器件所选器件可保存在一片芯片上设计出题目要求的数字电路。软件平台是multisim通过课程设计学生要掌握使用EDA电子设计自动化工具设计数字电路的方法包括设计输入便宜软件仿真下载及硬件仿真等全过程。数字电路课程设计在于更好的让学生掌握这门课程并且了解其实用性知道该门课程和我们的生活息息相关并且培养学生的动手能力让学生对该门课程产生浓厚的兴趣。

2.设计内容及其分析

(1)方案一

1.设计思路

设计8421转余三循环码主要是考虑怎样找到二者之间的联系。列出真值表后,根据值为1的那些项列出表达式,用最小项之和表示。然后根据卡诺图进行

化简,得出最简表达式。最后根据表达式,在Multisim上画图仿真,用灯的灭(表示0)和亮(表示1)来表示码制的转换。即可得到8421码对余三循环码的转换。

真值表:

表1 8421转余三循环码真值表

根据真值表得出表达式:

X4=A——C

X3=B——C——+ A——BCD+A——B——D——

X2=A B——C——D——+A——B+A——C+A——D

X1=A B——C——+A——BD+A——BC

根据表达式画出逻辑电路图:

图0 8421码转余3循环码逻辑电路图

2.所用主要器件及芯片

1.电源;

2.导线若干,开关4个;

3.白炽灯(5v 1w)4个;

4.芯片:

74ls04 2片

74ls08 1片

74ls11 2片

74ls20 1片

74ls32 2片

3.线路运行介绍

J1.J2.J3.J4端为输入8421码端,J1端是最高位,依次下排。其中ABCD四块高低电平为控制8421码制的输入1代表高电平0代表低电平。灯X1、X2、

X3、X4分别代表余三循环码的四位高低电平,灯亮代表高电平1,灯灭代表低电平0。

4.各模块图

图1 8421码高低电平控制端

图2 芯片及其引脚

图3 余三码高低电平输出端

5.仿真电路

如图

图4 8421转余三循环码仿真电路

图5 输入为8421码制的0111时输出为相对应的余三码制的应为1111

6.结论

该仿真电路可以实现8421码制转换余三循环码的具有数显数码转换电路。(2)方案二

(1)设计思路

设计8421转余三循环码主要是考虑怎样找到二者之间的联系。余3循环码,是8421码转换为格雷码后,去处前三项所得。一般的,普通二进制码与格雷码可以按以下方法互相转换:

二进制码->格雷码(编码):从最右边一位起,依次将每一位与左边一位异或(XOR),作为对应格雷码该位的值,最左边一位不变(相当于左边是0)。

所以,根据表1,我们可以知道,余3循环码即余3码的格雷码。

利用加法器74LS283,我们可以直接得到8421码对余3码的转换。再利用异或门74LS86即可得出余3循环码。

这样一来,我们仅仅用它们之间的关系来设计电路,而不用根据表达式来得出余3循环码。在Multisim上画图仿真,用灯的灭(表示0)和亮(表示1)来

表示码制的转换。即可得到8421码对余三码循环的转换。

真值表:

表1 各种码制的真值表

根据真值表得出表达式:

X4=A——C

X3=B——C——+ A——BCD+A——B——D——

X2=A B——C——D——+A——B+A——C+A——D

X1=A B——C——+A——BD+A——BC

(2)所用主要器件及芯片

1.电源;

2.导线若干,电平开关4个;

3.白炽灯(5v 1w)4个;

4.芯片:74LS283 1片

74LS84 1片

(3)线路运行介绍

J1端为输入8421码端。黑键位在下表示低电平,在上表示高电平。灯X1、X2、X3、X4分别代表余三循环码的四位高低电平,灯亮代表高电平1,灯灭代

表低电平0。

4.各模块图

图1 8421码高低电平控制端

图2.1 74S283芯片及其引脚

图2.2 74LS86芯片及其引脚

图3 余三循环码高低电平输出端

(4)仿真电路

如图

图4 8421转余三循环码仿真电路

图5 输入为8421码制的0111时输出为相对应的余三码制的应为1111

6.结论

该仿真电路可以实现8421码制转换余三循环码的具有数显数码转换电路。

四.心得与收获

1、经过一段时间的探索学习对于multisim软件有了大概的认识和掌握,知道了怎样去运行操作该软件,学会了基本的仿真练习。

2、连接电路过程中出现了不少错误,错接,漏接等,通过多次的查漏补缺,最终解决了这些问题,成功的仿真完成,开拓了自己的思维,也巩固了原本一知半解的知识。

3、在几个同学同学的商讨下筛选了合适的芯片少走了许多弯路。

4,从这次课设中学到了团队的力量很重要,每人出一份力,可以达到事半功倍的效果,成功的做好一件事。

5,经过几次电路仿真的的设计,懂得了善于探索,去发掘总会有意想不到的结果,比如这次的设计,刚开始我们觉得设计有点复杂,当然刚开始我们设计出来的电路很复杂的,但通过我们一次一次的探索学习,一次比一次的电路要简单,最后得到了最贱的电路,仿真成功。

回顾起此课程设计至今我仍感慨颇多,从理论到实践在这段时间里可以说得是苦多于甜,但是可以学到很多很多的东西,比如课本的知识,以及软件的运用,不仅巩固了以前所学过的知识而且学到了很多在书本上所没有学到过的知识。通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来从理论中得出结论才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。在设计的过程中遇到问题可以说得是困难重重但可喜的是最终都得到了解决。实验过程中也对团队精神的进行了考察,让我们在合作起来更加默契在成功后一起体会喜悦的心情。果然是团结就是力量,只有互相之间默契融洽的配合才能换来最终完美的结果。此次设计也让我明白了思路有什么不懂不明白的地方要及时请教或上网查询,只要认真钻研动脑思考动手实践就没有弄不懂的知识,收获颇丰。

总之,这次实习给我带来了很大的收获,同时也给我带来了很大的快乐,再一次感谢学校给我们提供了一个学习锻炼的机会,和老师对我们的辛勤付出、

叮嘱,这对我们以后的工作和学习都有很好的作用。

五参考文献

【1】阎石:《数字电子技术基础》(第四版)北京:高等教育出版社,1998.12(2008重印)

【2】数字电路实验报告册;东北大学秦皇岛分校出版。

六、设计时间与安排

1、设计时间:2周

2、设计时间安排:

熟悉实验设备、收集资料:2 天

设计图纸、实验、计算、程序编写调试:5 天

编写课程设计报告:2 天

答辩: 1 天

基于MATLAB的循环码实验报告

课程名称:信息论与编码 课程设计题目:循环码的编码和译码程序设计指导教师: 系别:专业: 学号:姓名: 合作者 完成时间: 成绩:评阅人:

一、实验目的: 1、通过实验了解循环码的工作原理。 2、深刻理解RS 码构造、RS 编译码等相关概念和算法。 二、实验原理 1、RS 循环码编译码原理与特点 设C 使某 线性分组码的码字集合,如果对任C c c c C n n ∈=--),,,(021 ,它的循环 移位),,,(1032)1(---=n n n c c c c C 也属于C ,则称该 码为循环码。 该码在结构上有另外的限制,即一个码字任意循环移位的结果仍是一个有效码字。其特点是:(1)可以用反馈移位寄存器很容易实现编码和伴随式的计算;(2)由于循环码有很多固有的代数结构,从而可以找到各种简单使用的译码办法。 如果一个 线性码具有以下的属性,则称为循环码:如果n 元组 },,,{110-=n c c c c 是子空间S 的一个码字,则经过循环移位得到的},,,{201)1(--=n n c c c c 也 同样是S 中的一个码字;或者,一般来说,经过j 次循环移位后得到的 },,,,,,,{11011)(---+--=j n n j n j n j c c c c c c c 也是S 中的一个码字。 RS 码的编码系统是建立在比特组基础上的,即字节,而不是单个的0和1,因此它是非二进制BCH 码,这使得它处理突发错误的能力特别强。 码长:12-=m n 信息段:t n k 2-= (t 为纠错符号数) 监督段:k n t -=2 最小码段:12+=t d 最小距离为d 的本原RS 码的生成多项式为:g(x)=(x-α)(x -α2)(x -α3)…(x -αd -2) 信息元多项式为::m(x)=m0+m1x+m2x2+…+mk -1xk-1 循环码特点有: 1)循环码是线性分组码的一种,所以它具有线性分组的码的一般特性,且具有循环性,纠错能力强。 2)循环码是一种无权码,循环码编排的特点为相邻的两个数码之间符合卡诺中的邻接条件,即相邻数码间只有一位码元不同,因此它具有一个很好的优点是它满足邻接条件,没有瞬时错误(在数码变换过程中,在速度上会有快有慢,中间经过其他一些数码形式,即为瞬时错误)。 3)码字的循环特性,循环码中任一许用码经过牡环移位后,所得到的码组仍然是许用码组。

8421码到余三循环码的转换电路仿真课设报告

东北大学秦皇岛分校计算机与通信工程院 电子线路课程设计 具有数显的数码转换电路(8421码—余3循环码)

课程设计任务书 专业:通信工程学号:4101015 学生姓名:吴玉新 设计题目:具有数显的码制转换电路8421码—余3循环码一、设计实验条件 高频实验室 二、设计任务及要求 1. 要求输入为8421码。输出为余三循环码 2. 输出要具有数显功能 三、设计报告的内容 1.前言 数字电路课程设计是继“数字电路”课后开出的实践环节课程其目的是训练学生综合运用学过的数字电路的基本知识独立设计比较复杂的数字电路能力。设计建立在硬件和软件两个平台的基础上。硬件平台是可编程逻辑器件所选器件可保存在一片芯片上设计出题目要求的数字电路。软件平台是multisim通过课程设计学生要掌握使用EDA电子设计自动化工具设计数字电路的方法包括设计输入便宜软件仿真下载及硬件仿真等全过程。数字电路课程设计在于更好的让学生掌握这门课程并且了解其实用性知道该门课程和我们的生活息息相关并且培养学生的动手能力让学生对该门课程产生浓厚的兴趣。 2.设计内容及其分析 (1)方案一 1.设计思路 设计8421转余三循环码主要是考虑怎样找到二者之间的联系。列出真值表后,根据值为1的那些项列出表达式,用最小项之和表示。然后根据卡诺图进行

化简,得出最简表达式。最后根据表达式,在Multisim上画图仿真,用灯的灭(表示0)和亮(表示1)来表示码制的转换。即可得到8421码对余三循环码的转换。 真值表: 表1 8421转余三循环码真值表 根据真值表得出表达式: X4=A——C X3=B——C——+ A——BCD+A——B——D—— X2=A B——C——D——+A——B+A——C+A——D X1=A B——C——+A——BD+A——BC 根据表达式画出逻辑电路图:

格雷码编译码电路

格雷码编 发布时间:2009-02-11 22:20:56 译码电路 技术类别: CPLD/FPGA 格雷码(Gray code),又叫循环二进制码或反射二进制码 在数字系统中只能识别0和1,各种数据要转换为二进制代码才能进行处理,格雷码是一种无权码,采用绝对编码方式,典型格雷码是一种具有反射特性和循环特性的单步自补码,它的循环、单步特性消除了随机取数时出现重大误差的可能,它的反射、自补特性使得求反非常方便。格雷码属于可靠性编码,是一种错误最小化的编码方式,因为,自然二进制码可以直接由数/模转换器转换成模拟信号,但某些情况,例如从十进制的3转换成4时二进制码的每一位都要变,使数字电路产生很大的尖峰电流脉冲。而格雷码则没有这一缺点,它是一种数字排序系统,其中的所有相邻整数在它们的数字表示中只有一个数字不同。它在任意两个相邻的数之间转换时,只有一个数位发生变化。它大大地减少了由一个状态到下一个状态时逻辑的混淆。另外由于最大数与最小数之间也仅一个数不同,故通常又叫格雷反射码或循环码。 一般的,普通二进制码与格雷码可以按以下方法互相转换: 二进制码->格雷码(编码):从最右边一位起,依次将每一位与左边一位异或(XOR),作为对应格雷码该位的值,最左边一位不变(相当于左边是0); 格雷码-〉二进制码(解码):从左边第二位起,将每位与左边一位解码后的值异或,作为该位解码后的值(最左边一位依然不变). 数学(计算机)描述: 原码:p[0~n];格雷码:c[0~n](n∈N);编码:c=G(p);解码:p=F(c);书写时从左向右标号依次减小. 编码:c=p XOR p[i+1](i∈N,0≤i≤n-1),c[n]=p[n]; 解码:p[n]=c[n],p=c XOR p[i+1](i∈N,0≤i≤n-1). Gray Code是由贝尔实验室的Frank Gray在20世纪40年代提出的(是1880年由法国工程师Jean-Maurice-Emlle Baudot发明的),用来在使用PCM(Pusle Code Modulation)方法传送讯号时避免出错,并于1953年3月17日取得美国专利。由定义可知,Gray Code的编码方式不是唯一的,这里讨论的是最常用的一种。 格雷码 (英文:Gray Code, Grey Code,又称作葛莱码,二进制循环码)是1880年由法国工程师Jean-Maurice-Emlle Baudot发明的一种编码,是一种绝对编码方式,典型格雷码是一种具有反射特性和循环特性的单步自补码,它的循环、单步特性消除了随机取数时出现重大误差的可能,它的反射、自补特性使得求反非常方便。格雷码属于可靠性编码,是一种错误最小化的编码方式,因为,虽然自然二进制码可以直接由数/模转换器转换成模拟信号,但在某些情况,例如从十进制的3转换为4时二进制码的每一位都要变,能使数字电路产生很大的尖峰电流脉冲。而格雷码则没有这一缺点,它在相邻位间转换时,只有一位产生变化。它大大地减少了由一个状态到下一个状态时逻辑的混淆。由于这种编码相邻的两个码组之间只有一位不同,因而在用于风向的转角位移量-数字量的转换中,当风向的转角位移量发生微小变化(而可能引起数字量发生变化时,格雷码仅改变一位,这样与其它编码同时改变两位或多位的情况相比更为可靠,即可减少出错的可能性。 但格雷码不是权重码,每一位码没有确定的大小,不能直接进行比较大小和算术运算,也不能直接转换成液位信号,要经过一次码变换,变成自然二进制码,再由上位机读取。解码的方法是用…0?和采集来的4位格雷码的最高位(第4位)异或,结果保留到4位,再将异或的值和下一位(第3位)相异或,结果保留到3位,再将相异或的值和下一位(第2位)异或,结果保留到2位,依次异或,直到最低位,依次异或转换后的值(二进制数)就是格雷码转换后自然码的值. 异或:异或则是按位“异或”,相同为“0”,相异为“1”。例: 10011000 异或01100001 结果: 11111001 举例: 如果采集器器采到了格雷码:1010 就要将它变为自然二进制: 0 与第四位 1 进行异或结果为 1 上面结果1与第三位0异或结果为 1 上面结果1与第二位1异或结果为0

实验6 BCH循环码的编码与译码的matlab实现

实验6 BCH循环码的编码与译码 一、实验内容 用VC或Matlab软件编写循环BCH码的编码与译码程序。利用程序对教科书的例题做一个测试。 二、实验环境 1.计算机 2.Windows 2000 或以上 3.Microsoft Visual C++ 6.0 或以上 4.Matlab 6.0或以上 三、实验目的 1.通过BCH循环码的编码与译码程序的编写,彻底了解并掌握循环BCH的编码与译码原理 2.通过循环BCH码的编码与译码程序的编写,提高编程能力。 四、实验要求 1.提前预习实验,认真阅读实验原理以及相应的参考书。 2.对不同信道的进行误码率分析。特别是对称信道,画出误码性能图。即信道误码率与循环汉明码 之间的关系。 3.认真填写实验报告。 五、实验原理 1.循环BCH的编码与译码原理(略) 2.循环BCH的程序实现。 六、实验步骤 bch_en_decode.m文件 function bch_en_decode() code=bch155 code=code+randerr(5,15,1:3); code=rem(code,2); code=gf(code) %随机产生1-3位错误 decode=debch155(code) end function decode=debch155(code) code=gf(code); M=4; code = gf(code.x,M); [m , n]=size(code);decode=[]; code1=[]; for i=1:m ;code1=code(i,:); M=code1.m;T2=6;N=15; S = code1* ((gf(2,M,code1.prim_poly)).^([N-1:-1:0]'*([1:T2]))); LambdaX = gf([1 zeros(1,T2)],M,code1.prim_poly);

7,3循环码

****************** 实践教学 ******************* 兰州理工大学 计算机与通信学院 2014年秋季学期 计算机通信课程设计 题目:(7,3)循环码编译码软件设计 专业班级: 姓名: 学号: 指导教师: 成绩:

摘要 随着计算机通信的日益发展,传输数据的场合越来越多。串行数据的差错检验是保证数据传输正确的必要手段,而循环码是差错码中最常用的一种编码。 循环码是线性分组码中最重要的一种子类,它除了具有分组码的线性外,还具有循环性,其码字结构一般用符号(n,k)表示,其中,n是该码组中的码元数,k是信息码元位数,r=n-k是监督码元位数。循环码具有许多特殊的代数性质,这些性质有助于按照要求的纠错能力系统地构造这类码,能简化译码算法,并且目前发现的大部分线性码与循环码有密切关系。已有循环码编译码系统大多以标准逻辑器件(如中小规模TTL系列、CMOS系列)按传统数字系统设计方法设计而成,其主要缺点是逻辑规模小、功耗大、可靠性低。随着大规模、超大规模集成电路的发展,以及电子设计自动化水平的提高,这种制约正在被逐渐消除。 本文通过C 语言平台运行所编写的程序,观察了在输入信息码情况下输出对应的编码结果以及相反的译码功能。通过多组的对比验证了该(7,4)循环码的编译码程序的正确性。最后,在程序运行的过程中进步分析循环码的编译码原理,并通过比较仿真模型与理论计算的性能,证明了仿真模型的可行性。 关键词:循环码;编码;译码;程序仿真

目录 前言 (1) 1、目的及意义 (2) 2、设计原理 (3) 2.1循环码的介绍 (3) 2.1.1循环码的定义 (3) 2.1.2循环码的特点 (3) 2.1.3循环码的多项式表示 (4) 2.1.4(n,k)循环码的生成多项式 (4) 2.1.5循环码的生成矩阵和一致校验矩阵 (6) 2.2循环码编码原理 (8) 2.2.1多项式除法电路 (8) 2.3循环码译码原理 (9) 3、设计结果及分析 (11) 3.1程序运行结果 (11) 3.2运行结果理论分析 (14) 3.3软件可行性分析 (15) 4、总结 (16) 附录 (17) 参考文献 (22)

循环码编译码实验报告

Harbin Institute of Technology 信息论与编码报告 题目:循环码编译码实验 院(系)电子与信息工程学院 班级通信1班 学生 学号 序号 哈尔滨工业大学

循环码编译码实验 1 设计内容 循环码是线性分组码中最重要的一类码,它的结构完全建立在有限域多项式的基础上,它具有两个基本特点:一是编码电路与译码电路非常简单,易于实现;二是其代数性质好,分析方便,有一定的成熟的译码方法。 一个(n ,k )线性分组码C ,如果码组中的一个码字的循环移位也是这个码组中的一个码字,则称C 为循环码。 本实验主要完成以下四项内容: (1)利用(7,4)系统循环码的生成多项式为:3()1g x x x =++,请设计该循环码的编码器。 (2)随机产生重量为0或1的八种错误图样中的一种,得到实际接收码字。 (3)根据接收到的码字进行译码,译码方式分为校验子译码和梅吉特译码两种。 (4)对于在BSC 信道传输时的情形进行讨论,验证(7,4)系统循环码的纠错能力。 2 编程环境 本实验采用Matlab 作为编程工具,所有代码均在Matlab 软件中运行,此软件功能强大,应用广泛,在此不再赘述。 3 各模块设计 3.1 编码器模块 利用(7,4)系统循环码的生成多项式为:3()1g x x x =++,请设计该循环码的编码器。流程图为:

图1 (7,4)循环码编码流程图图2 4位信息码元编码流程图 在学生设计的演示工具中输入的信息码元可以为任意多个,系统自动按每4个连续的码字一组进行编码,当输入的信息码元不是4的倍数时,自动补零到与信息码元长度最接近的4的倍数。译码时也是按照每7个连续的码字一组进行译码。但是为了流程图的清晰明了,在本文的流程图除流程图1以外,其余均按一个循环码码字(即7位)来描述。 编码器模块源程序如下: %%%函数功能:(7,4)系统循环码编码器 %%%编程时间:2013-11-29 %%%该系统循环码编码器的生成多项式是g(x) = x^3 + x + 1; % %%系统循环码编码的原理是,首先用x^r乘以信息码字多项式m(x),这里r = 3;然后用x^r*m(x)除以生成多项式g(x), % %%得余式r(x);最后得系统循环码多项式c(x) = x^r*m(x) + r(x) function [code_out,code_in_L] = coder(code_in) %%code_in:输入信息码字 %%code_out:输出编码后的码字 %%L:输入的信息码元的长度 n=7;%%每个码字长度 k=4;%%每个码字中信息码元长度 code_in_L=length(code_in);

实验6 循环码的软件编、译码实验

实验六循环码的软件编、译码实验 一、实验目的 (1)通过实验了解循环码的工作原理。 (2)了解生成多项式g(x)与编码、译码的关系。 (3)了解码距d与纠、检错能力之间的关系。 (4)分析(7.3)循环码的纠错能力。 二、实验要求 用你熟悉的某种计算机高级语言或单片机汇编语言,编制一(7,3)循环码的编、译码程序,并改变接受序列R(x)和错误图样E(x),考查纠错能力情况。 设(7,3)循环码的生成多项式为:g(x)=x4+x3+x2+1 对应(11101)(1)按编、译码计算程序框图编写编、译码程序 (2)计算出所有的码字集合,可纠的错误图样E(x)表和对应的错误伴随式表。 (3)考查和分析该码检、纠一、二位错误的能力情况。 (4)整理好所有的程序清单,变量名尽量用程序框图所给名称,并作注释。 (5) 出示软件报告. 三、实验设计原理 循环码是一类很重要的线性分组码纠错码类,循环码的主要优点是编、译码器较简单,编码和译码能用同样的反馈移存器重构,在多余度相同的条件下检测能力较强,不检测的错误概率随多余度增加按指数下降。另外由于循环码具有特殊的代数结构,使得循环码的编、译码电路易于在微机上通过算法软件实现。 1、循环码编码原理 设有一(n,k)循环码,码字C=[C n-1…C r C r-1…C0],其中r=n-k。码字多项式为: C (x ) = C n-1x n-1+ C n-2x n-2+… +C1x+C0。 码字的生成多项式为: g(x)= g r-1x r-1+g r-2x r-2+…+g1x+g0 待编码的信息多项式为:m(x)=m K-1x K-1+…+m0 x n-k.m(x)=C n-1x n-1+…+C n-K x n-K

循环码编译码matlab程序

循环码编译码matlab程序 循环码编码程序 function [ C ] = cyclic_encoder( Si ) %C为循环编码的输出编码结果 %对x^8+1进行模2因式分解得到:x^8+1=(x^3+x^2+x+1)*(x^5+x^4+x+1) y=size(Si,2);%y表示Si的列数,即输入码元的个数 M=ceil(y/5);%将信息码元分成M帧,一帧5个信息码元 n=8;%循环编码的一帧码长 k=5;%信息位的个数 r=n-k;%监督位的个数 gx=[1,1,1,1];%(8,5)循环码的生成多项式g(x)=x^3+x^2+x+1 Ai=zeros(1,8*M);%Ai用来存放所输入的码元经过循环编码后的码字 Axi=zeros(1,8);%Axi用来表示循环编码后的一帧的编码输出码字 mi=zeros(1,5);%mi用来存放每一帧的信息码元 for i=1:M for j=1:5 mi(j)=Si(j+(i-1)*5); end Axi(4:8)=mi(1:5); Axi=circshift(Axi',-r)';%实现(x^(n-k))*m(x),其中m(x)的系数由mi决定 [qx,rx]=deconv(Axi,gx);%实现((x^(n-k))*m(x))/g(x),得到商q(x)和余数r(x) Axi=Axi+rx;%实现Axi(x)=Axi(x)+r(x),得到的Axi就是循环编码的编码输出码字 Ai(8*i-4:8*i)=Axi(1:5); Ai(8*i-7:8*i-5)=Axi(6:8); end %for循环是为了实现模2相加,使循环编码的输出码字Ai中只有0,1 for i=1:8*M if rem(abs(Ai(i)),2)==0 Ai(i)=0; else Ai(i)=1; end end C=Ai;%循环编码的输出码字C=Ai end

循环码的编码方法研究

摘要本文对循环码的编码方法进行了深入的分析和探讨,循环码具有很高的可靠性,在通信、军事等领域应用非常广泛。关键词循环码编码中图分类号:G202文献标识码:A 0 引言循环码是线性分组码最重要的子集。它除了具有线性分组码的一般性质外,还有许多特殊的性质,这些性质有助于按照要求的纠错能力系统地构造这类码,并且简化译码算法。循环码还有易于实现的特点,很容易用带反馈的移位寄存器实现其硬件。正是由于循环码具有码的代数结构清晰、检纠错能力强、编译码易于实现,具有很高的可靠性等特点,因此在通信、军事等领域应用非常广泛。 1 循环码的相关概念 1.1 循环码的特性表1给出了(7,3)循环码的所有码字,我们可以直观的看出循环码具有如下特性:(1)封闭性。(线性性):任何许用码组的线性和还是许用码组。(2)循环性:任何许用的码组循环移位后的码组还是许用码组。表1 (7,3)循环码 1.2 循环码的码多项式用码多项式来表示来表示循环码,可以方便的利用代数理论对其进行研究。若许用码字为C = (,,…,):,码多项式可表示为:C(x) = … c1x c0其中:对于二元码组,多项式的每个系数是0或者1; x仅是码元位置的标志,并不关心x的取值。利用码多项式可以方便的表示循环移位特性。若C(x) 是一个长为n的许用码字,则xi C(x) (左乘xi)在按模xn 1运算下,亦是一个许用码字,也就是:xiC(x) = Ci(x) (模xn 1),正是C(x) 代表的码组向左循环移位次的结果。 1.3 循环码的生成多项式和生成矩阵循环码的生成多项式g(x)是一个常数项为1,且能除尽xn 1的r = n - k次多项式;循环码中其它码多项式都是g(x)的倍式。由生成多项式可以表示出生成矩阵G(x)为: 1.4 循环码的监督多项式和监督矩阵利用循环码的特点来确定监督矩阵H, 由于循环码中是的因式,因此可令:h(x) == xk hk-1xk-1 … h1x 1,这里称为监督多项式。与G(x)相对应,监督矩阵表示为: 其中:h*(x)是h(x)逆多项式,h*(x) = xk h1xk-1 h2xk-2 … hk-1x 1。 2 循环码编码的具体实现方法 2.1 利用生成矩阵编码 2.1.1 求解生成多项式根据g(x)的特性,g(x)是xn 1的一个r次因式。因此,先对xn 1进行因式分解,找到它的r次因式。以(7,3)循环码为例进行分析: 第一步:对x7 1进行因式分解得:x7 1 = (x 1)(x3 x2 1)(x3 x 1) 第二步:构造生成多项式g(x),即找r = n - k = 4次因子。不难看出,这样的因子有两个,即: (x 1)·(x3 x2 1) = x4 x2 x 1 (x 1)·(x3 x 1) = x4 x3 x2 1 2.1.2 编码由g(x)得到生成矩阵为: 循环码是线性码的一种,根据线性码编码的特点,生成矩阵确定,码组也就确定了。 C = mG 其中,C是编码之后的码字,m是信息码元序列,G是生成矩阵。 2.2 利用监督矩阵编码由h*(x)得到监督矩阵为: 根据线性码编码的特点,监督矩阵确定,码组也就确定了。 HCT = 0其中,C是编码之后的码字,H是监督矩阵。 2.3 循环码的系统码编码方法设要产生(n,k)循环码,m(x)表示信息多项式,编码步骤如下: (1)用xn-k乘m(x)。根据码多项式的特点,左乘xn-k实际上是把信息位左移位(n-k),即在信息码后加上(n-k)个“0”。例如,信息码为110,它相当于m(x) = x2 x。当n-k = 7-3 = 4时, xn-k·m(x) = x6 x5,它相当于1100000。而希望的到得系统循环码多项式应当是C(x) = xn-k·m(x) r(x) (2)求r(x)。由于循环码多项式C(x)都可以被g(x)整除,也就是: == (3)求C(x),C(x) = xn-k·m(x) r(x) 例如,对于(7,3)循环码,若选用g(x) = x4 x2 x 1,信息码110时,则: = ,求得r(x) = x2 1,这时的编码输出为:1100101。 3 结论本文深入系统地分析了循环码的编码技术。随着数字技术的高速发展,循环码纠错技术已经广泛应用于各种通信系统中。其编码和译码都可以通过简单的反馈移位寄存器来完成,实现简单,纠错能力强 ,可以降低误码率,保证数据传输的可靠性,大大提高通信质量。

循环码产生电路设计

循环码产生电路设计 1.引言 在线性分组码中,有一种重要的码称为循环码。循环码是线性分组码中最重要的一种子类,是目前研究的比较成熟的一类码。循环码具有许多特殊的代数性质,这些性质有助于按照要求的纠错能力系统地构造这类码,并且简化译码算法,并且目前发现的大部分线性码与循环码有密切关系。循环码还有易于实现的特点,很容易用带反馈的移位寄存器实现其硬件。循环码是在严密的代数学理论基础上建立起来的。这种编码和解码设备都不太复杂,而且纠错的能力较强。循环码除了具有线性码的一般性质外,还具有循环性。循环性是指任一码组循环一位以后,认为该码中的一个码组。 正是由于循环码具有码的代数结构清晰、性能较好、编译码简单和易于实现的特点,因此在目前的计算机纠错系统中所使用的线性分组码几乎都是循环码。它不但可以纠正独立的随机错误,也可用于检错突发错误并且非常有效。(n,k)循环码能够检测长为n-k 或更短的任何突发错误, 包括首尾相接突发错误。n-k+1位长的突发错误不能被检出所占的概率最大是错误!未找到引用源。,如果l>n-k+1,则不能检测长为l 的突发错误所占据的比值最大为)(2k n --。 2.设计要求 (1)用simulink 对系统建模。 (2)写出其生成多式。 (3)对所设计的系统性能进行仿真分析。 (4)对其应用举例阐述。 3.设计原理 3.1 循环码多项式 为了利用代数理论研究循环码,可以将码组用代数多项是来表示,这个多项式被称为码多项式,对于许用循环码A =(0121a a a a n n ?-- ),可以将它的码多项式表示为:

T(x)=012211a x a x a x a x a i i n n n n ++?++?++----对于二进制码组,多项式的每个系数不是0就是1,x 仅是码元位置的标志。因此,这里并不关心x 的取值。 3.2 循环码的生成多项式和生成矩阵 (全0码字除外)称为生成多项式,用g (x )表示。可以证明生成多项式g (x )具有以下特性: 1)g (x )是一个常数项为1的r=n-k 次多项式; 2)g (x )是1+n x 的一个因式; 3)该循环码中其它码多项式都是g (x )的倍式。 为了保证构成的生成矩阵G 的各行线性不相关,通常用g (x )来构造生成矩阵,这时,生成矩阵G 可以表示为: ?????? ?? ?????????????=--)()()()()(21x g x g x x g x x g x x G k k 其中011)(a x a x a x x g r r r ++++=- ,因此,一旦生成多项式g (x )确定以后,该循环码的生成矩阵就可以确定,进而该循环码的所有码字就可以确定。 3.3 循环码的编、译码方法 在编码时,首先需要根据给定循环码的参数确定生成多项式g (x ),也就是从1+n x 的因子中选一个(n-k )次多项式作为g (x );然后,利用循环码的编码特点,即所有循环码多项式A (x )都可以被g (x )整除,来定义生成多项式g (x )。 根据上述原理可以得到一个较简单的系统循环码编码方法:设要产生(n,k )循环码,m (x )表示信息多项式,则其次数必小于k ,而)(x m x k n ?-的次数必小于n ,用)(x m x k n ?-除以g (x ),可得余数r (x ),r (x )的次数必小于(n-k ),将r (x )加到信息位后作监督位,就得到了系统循环码。下面就将以上各步处理加以解释。 (1)用)(x m x k n ?-。这一运算实际上是把信息码后附加上(n-k )个“0”。例如,信息码为110,它相当于m (x )=2x +x 。当n-k =7-3=4时,)(x m x k n ?-=6x +5x ,它相当于1100000。

最新数电复习资料(含答案)期末考试

数电 第一章 一、选择题 1.以下代码中为无权码的为。 A. 8421BCD码 B. 5421BCD码 C.余三码 D.格雷码 2.以下代码中为恒权码的为。 A.8421BCD码 B. 5421BCD码 C.余三码 D.格雷码 3.一位十六进制数可以用位二进制数来表示。A.1B.2C.4D. 16 4.十进制数25用8421BCD码表示为。A.10 101 B.0010 0101 C.100101 D.10101 5.在一个8位的存储单元中,能够存储的最大无符号整数是。 A.(256)10 B.(127)10 C.(FF)16 D.(255)10 6.与十进制数(53.5)10等值的数或代码为。 A.(0101 0011.0101)8421BCD B.(35.8)16 C.(110101.1)2 D.(65.4)8 7.矩形脉冲信号的参数有。A.周期 B.占空比 C.脉宽 D.扫描期8.与八进制数(47.3)8等值的数为: A. (100111.011)2 B.(27.6)16 C.(27.3 )16 D. (100111.11)2 9.常用的B C D码有。A.奇偶校验码 B.格雷码 C.8421码 D.余三码10.与模拟电路相比,数字电路主要的优点有。 A.容易设计 B.通用性强 C.保密性好 D.抗干扰能力强 二、判断题(正确打√,错误的打×) 1. 方波的占空比为0.5。() 2. 8421码1001比0001大。() 3. 数字电路中用“1”和“0”分别表示两种状态,二者无大小之分。()

4.格雷码具有任何相邻码只有一位码元不同的特性。() 5.八进制数(18)8比十进制数(18)10小。() 6.当传送十进制数5时,在8421奇校验码的校验位上值应为1。() 7.在时间和幅度上都断续变化的信号是数字信号,语音信号不是数字信号。() 8.占空比的公式为:q = t w / T,则周期T越大占空比q越小。() 9.十进制数(9)10比十六进制数(9)16小。() 10.当8421奇校验码在传送十进制数(8)10时,在校验位上出现了1时,表明在传送过程中出现了错误。() 三、填空题 1.描述脉冲波形的主要参数有、、、、、、。 2.数字信号的特点是在上和上都是断续变化的,其高电平和低电平常用 和来表示。 3.分析数字电路的主要工具是,数字电路又称作。 4.在数字电路中,常用的计数制除十进制外,还有、、。 5.常用的BCD码有、、、等。常用的可靠性代码 有、等。 6.(10110010.1011)2=( )8=( )16 7.( 35.4)8 =()2 =( )10=( )16=( )8421BCD 8.(39.75 )10=()2=( )8=( )16 9.( 5E.C)16=()2=( )8=( )10= ( )8421BCD 10.( 0111 1000)8421BCD=() =( )8=( )10=( )16 2 四、思考题

简述简易循环码编译码器设计

简述简易循环码编译码器设计 摘要通信系统可靠性要求系统可靠地传输消息,而信道编码旨在解决可靠性问题。循环码属于线性代码,具有严密的代数理论基础,具有良好的错误检测和纠正功能。循环码编译电路大多用移位寄存器和模2构成的线性时序网络来完成。基本电路简单,容易实现,但在体积和功能扩展上受到了限制而不能发挥更大的作用。本设计充分运用单片机的软件功能进行编码及译码纠错,可有效克服来自通信信道的干扰,保证数据通信的可靠及系统的稳定,使误码率大幅度的降低。只要改变软件算法,即可适用于不同微机、不同字长的需要。 关键词信道编码;循环码;单片机;编译码;可靠 前言 信息在有线或无线信道传输时,受外界干扰或信噪比恶化的影响,信息的传递容易发生错误,需要有效检测出错状况,进行纠错,保证信息传输的质量。前向纠错编码技术在发送端引入冗余可以实现检错和纠错,一种广泛应用前向纠错码循环码它是线性分组码中最重要的一种类别码,不光具备分组码的线性性质,还具有自身的循环性[1]。现阶段国内外基于循环码编译码方法的研究都取得了很大的进展,例如循环码在卫星通信与移动通信方面中起到很重要的作用。采用单片机编程的方法可以实现循环码编译码,成本小,通过软件升级可以适配多种码型。 1 循环码编译码原理 1.1 循环码特点 循环码隶属于线性代码,具有嚴密的代数理论基础,良好的错误检测和纠正功能,具有如下特点[2]:循环码具有线性码的封闭性,意味着线性码中的任何两个码组总和仍为这种码中的一个码组。两个信息码组之间的长度差一定是后一个信息码组的权重,码的最小距离等同于码的最小权重。循环码还具有循环的性质,任一码组不管是从按左到右还是从右到左方向循环移位,仍为该码中的一个码组。 1.2 编码原理 设信息元多项式表达式: 编码步骤可以归纳如下: ⑴用信息集合m(x)乘以信息集得到,这种运算操作其实就是在信息码后添加上(n-k)个“0”。

(7,4)循环码的编码和译码

(7,4)循环码的编码译码 编码的实验原理: 根据循环码的代数性质建立系统编码的过程,可以把消息矢量用如下多项式表示: 要编码成系统循环码形式,把消息比特移入码字寄存器的最右边k 位,而把监督比特加在最左边的n-k 个中,则要用k n x -乘以m(x)得到 k n x - m(x)= k n x - m(x)= q(x) g(x)+ p(x),其中p(x)可以表示为 p(x)= ,则p(x)+ k n x - m(x) = + 另U(x)= p(x)+ k n x - m(x),则U=(0p ,1p ,2p ,·,1--k n p ,0m ,1m ,·,1-k m )。 本实验根据以上原理,用matlab 实现书上例6.8系统形式的循 环码,生成多项式为g(x)= (7,4)循环码的编码的程序如下:clear; clc; a=[1 0 1 1]; %高次项系数在前的生成多项式 Gx=[1 0 1 1]; %将数组a 的高位依次放在数组Data 的低位 Data=zeros(1,7); Data(1)=a(4); Data(2)=a(3); Data(3)=a(2); Data(4)=a(1); %Data 除以Gx 得到余数Rx [Qx,Rx]=deconv(Data,Gx); 12211...)(m x m x m x m x m k k k k ++++=----k n k n n k n k x m x m x m x m -+-----++++0112211 (011) 1...p x p x p k n k n +++----0 111...p x p x p k n k n +++----k n k n n k n k x m x m x m x m -+-----++++0112211 (3) 1x x ++

数字电子技术基础第一章练习题和参考答案

第一章数字电路基础 第一部分基础知识 一、选择题 1.以下代码中为无权码的为。 A. 8421BCD码 B. 5421BCD码 C.余三码 D.格雷码 2.以下代码中为恒权码的为。 A.8421BCD码 B. 5421BCD码 C.余三码 D.格雷码 3.一位十六进制数可以用位二进制数来表示。 A.1 B.2 C.4 D. 16 4.十进制数25用8421BCD码表示为。 A.10 101 B.0010 0101 C.100101 D.10101 5.在一个8位的存储单元中,能够存储的最大无符号整数是。 A.(256)10 B.(127)10 C.(FF)16 D.(255)10 6.与十进制数(53.5)10等值的数或代码为。 A.(0101 0011.0101)8421BCD B.(35.8)16 C.(110101.1)2 D.(65.4)8 7.矩形脉冲信号的参数有。 A.周期 B.占空比 C.脉宽 D.扫描期 8.与八进制数(47.3)8等值的数为: A. (100111.011)2 B.(27.6)16 C.(27.3 )16 D. (100111.11)2 9.常用的B C D码有。 A.奇偶校验码 B.格雷码 C.8421码 D.余三码 10.与模拟电路相比,数字电路主要的优点有。 A.容易设计 B.通用性强 C.保密性好 D.抗干扰能力强 二、判断题(正确打√,错误的打×) 1. 方波的占空比为0.5。() 2. 8421码1001比0001大。() 3. 数字电路中用“1”和“0”分别表示两种状态,二者无大小之分。() 4.格雷码具有任何相邻码只有一位码元不同的特性。() 5.八进制数(18)8比十进制数(18)10小。() 6.当传送十进制数5时,在8421奇校验码的校验位上值应为1。()

数字逻辑综合练习

《数字逻辑》期末综合练习2015~2016第一学期 一、单项选择 1、表示任意一位十六进制数可以用( C )位二进制数来表示。 A. 1 B. 2 C. 4 D. 16 2、逻辑代数中讨论的数为( D )。 A. 1 B. 0 C. 任意 D. 0和1 3、常用情况下 BCD码是指( C ) 。 A.奇偶校验码 B.格雷码 C.8421码 D.余三码 4、以下代码中哪个是相邻编码只有1位二进制码不同 ( B )。 A. 8421BCD 码 B. 格雷码 C. 余三码 D. 2421码 5、以下表达式中符合逻辑运算法则的是( D )。 A. C·C=C2 B.1+1=10 C.0<1 D.A+1=1 6、当逻辑函数有n个变量时,共有( B )个变量取值组合? A . n B . 2n C . n2 D . 2n 7、在何种输入情况下,“与非”运算的结果是逻辑0( D )。 A.全部输入是0 B.任一输入是0 C.仅一输入是0 D.全部输入是1 8、在何种输入情况下,“或非”运算的结果是逻辑1( D )。 A.任一输入为1 B.全部输入是1 C.任一输入为0,其他输入为1 D. 全部输入是0 9、在余3码编码中不允许出现的代码是( A )。 A、0000~0010和1101~1111 B、0101~1010 C、0011~1001 D、无 10、对于有3个逻辑变量的逻辑函数,它所对应的卡诺图小方格有( D )个。 A . 3 B . 6 C . 7 D . 8

11、为了书写方便,逻辑函数的最小项可用( B )表示。 A . n B . m C .M D . N 12、为了书写方便,逻辑函数的最大项可用( C )表示。 A . n B . m C .M D . N 13、十进制6的格雷码是( C ) A . 110 B . 100 C .101 D . 011 14、有一个三个变量X,Y,Z的逻辑函数,下列哪个是最小项( A ) A . XYZ B . XYX C .YZX D . XYZ 15、组合逻辑电路的特征是( A )。 A . 输出与输入有关 B . 输出与输入无关 C .电路有记忆功能 D . 以上都不是 16、下列逻辑表达式是与或表达式( B )。 A . A(B+C) B . A+B C C .(AC+B) D D . 都不是 17、在数字逻辑中下列表达式哪个是错误的( C )。 A.0=0 B.1=1 C.1>0 D.都不对 18、图中所示逻辑电路图输出为“0”时,输入 变量ABCD取值组合为( B )。 A.1101 B.0101 C.0111 D.1011 19-1、时序逻辑电路的一般由组合逻辑电路与( C )组成。A.全加器B.译码器 C.触发器D.选择器19-2、5变量卡诺图中的卡诺圈包含的1方格的个数可能是( D )。A.12 B.5 C.24 D.1 20、同步RS触发器接收信号是( A )。

卷积码实验报告

卷积码实验报告 篇一:卷积码实验报告 实验五信道编解码() 本章目标 掌握数字频带传输系统调制解调的仿真过程掌握数字频带传输系统误码率仿真分析方法 5.1实验目的 1. 使用MATLAB进行卷积码编/译码器的仿真。 2. 熟练掌握MATLAB软件、语句。 3. 了解卷积码编/译码器的原理、知识。 5.2实验要求 1. 编写源程序、准备测试数据。 2. 在 MATLAB环境下完成程序的编辑、编译、运行,获得程序结果。如果结果有误, 应找出原因,并设法更正之。 5.3 实验原理 (一)卷积码编码器 1. 连接表示 卷积码由3个整数n,k,N描述。k/n也表示编码效率(每编码比特所含的信 N称为约束长度,息量);但n与线性分组码中的含义不同,不再表示分组或码子长度; 表示在编码移位寄存器中k元组的级数。卷积码不同于分组码的一个重要特征就是编码器的记忆性,即卷积码编码过程中产生的n元组,不仅是当前输入k元组的函数,而且

还是前面N?1个输入k元组的函数。实际情况下,n和k经常取较小的值,而通过N的变化来控制编码的能力和复杂性。 下面以图1中的卷积码编码器为例介绍卷积码编码器。该图表示一个约束长度 K?3的(2,1)卷积译码器,模2加法器的数目为n?2,因此,编码效率k/n?1/2。 在每个输入比特时间上,1位信息比特移入寄存器最左端的一级,同时将寄存器中原有比特均右移一级,接着便交替采样两个模2加法器,得到的码元就是与该输入比特相对应的分支字。对每一个输入信号比特都重复上述采样过程。 图1卷积码编码器(编码效率1/2,K?3) 用于描述反馈移位寄存器实现循环码时所使用的生成多项式也可用户描述卷积码编码器的连接。应用n个生成多项式描述编码的移位寄存器与模2加法器的连接方式,n个生成多项式分别对应n个模2加法器,每个生成多项式不超过K?1阶。仍以图 1中的编码器为例,用生成多项式g1(X)代表上方连接,g2(X)代表下方连接,则有: g1(X)?1?X?X2g2(X)?1?X 2 多项式中的最低阶项对应于寄存器的输入级。输出序

信道编码方法与循环码编、译码实现

科技信息 SCIENCE&TECHNOLOGYINFORMATION2013年第7期0前言 20世纪50年代以来,数字计算机和数字通信得到极大的发展, 我们已感受到计算机和通信的这种进步所产生的广泛而深刻的影响。 而这种现代的优越性除了技术进步之外,我们应该强调的是由于新的 数学思想和工具的运用。 由于热噪声的干扰使之产生错误,会造成传输中的数据信号失 真。串行数据的差错检验是保证数据传输正确的必要手段。因为循环 码的编码和译码设备较简单,且纠、检错能力强,所以循环码是差错码 中最常用的一种编码。 循环码是线性分组码中最重要的一种子类,它除了具有分组码的 线性外,还具有循环性,其码字结构一般用符号(n ,k )表示,其中n 是 该码组中的码元数,k 是信息码元位数,r=n-k 是监督码元位数。 基于PLD 的EDA 技术的发展和应用领域也不断地扩大与深入, EDA 将迅速成为电子设计领域中的极其重要的组成部分。EDA 技术 在电子信息、通信、自动控制及计算机应用等领域的重要性日益突出。1循环码编、译码的具体实现 1.1可编程逻辑器件的设计流程 1.1.1设计输入 设计输入分为原理图输入和语言输入。常用的方法是:顶层用原 理图,底层用语言,设计采用层次化设计。相应的仿真则先是功能模块 的仿真,后是时序仿真,均调试无误后,进行系统级仿真。 1.1.2功能仿真 设计输入完成后,进行功能仿真,验证电路功能是否有效。此时, 只运行仿真网表的提取,产生仿真网表文件而不作布局布线。在仿真 时需加入激励信号,该激励信号可以用波形编辑器直接产生波形文 件。 1.1.3设计项目编译 主要完成器件的选择及适配,逻辑的综合及器件的装入,延时信 息的提取。编译器可以检查项目中的错误并进行逻辑综合,将设计实 现到具体的器件中去,并为模拟和编程产生各种输出文件。 1.1.4时序仿真 编译后,下一步是进行时序仿真,利用软件提供的timing analyzer 。计算点到点的器件延时矩阵,确定器件引脚上信号的建立时 间与保持时间要求,还可计算最高的时钟频率。 1.1.5编程下载 完成设计后,软件产生一个编程文件,对于SRAM 工艺的PLD ,上 电后,由这片配置EEPROM 对PLD 器件加载数据,一般几个毫秒后, PLD 即可正常工作。 1.1.6器件测试 JTAG 是1980年Joint Test Action Group 开发的用于测试高密度 引线器件和高密度电路板上的器件的标准。其测试可用BSDL —— —VHDL 的子集来描述测试的方法及步骤,产生的BDL 文件用于测试 分析器件。 1.2总原理框图 循环码编译码系统的总原理图如图1,由五部分组成:定时单元、 信码发生器显示部分、编码器、模拟信道部分、译码器。 1.3各功能模块原理图及仿真1.3.1定时单元模块本单元提供时序信号及译码器所需的帧信号SW 及K1、K2、K3等。原理图如图2,仿真波形如图3。JK 触发器J 、K 连在一起,相当于T 触发器。触发器JK1、JK2、JK3、JK4及D5的输出分别为Q1、Q2、Q3、Q4、Q5。K1为信息位串行输入控制信号,K2为纠错信号输入控制,K3为寄存器清零信号。SW 是每30个CP 维持1个脉冲的高电平;K1是每30个CP 维持6个脉冲的高电平;K2也是每30个CP 维持6个脉冲的高电平,但K2是在K1出现高电平后的15个脉冲时,来控制错码位置信号输出,K3紧接着K2的下降沿,是系统的清零控制信号。图2定时单元模块原理图图3定时单元模块仿真图1.3.2信码发生器模块本单元给编码器提供信号源,手控开关置于+5V 时,发光二极管亮,代表输入“1”码元;若开关置于“0”,代表输出“0”码元。信码从“000000”到“111111”共有26种状态,代表64个码字。每个码字均由手控开关组成。在SW 信号作用下,与门开启,手控信号IN1~IN6并行 信道编码方法分析与循环码编、译码实现Coder and Decoder of CRC 马德凯崔荣喜商梅敬 (山东电力集团公司东营供电公司,山东东营257091) 【摘要】介绍了循环码编译系统的特点。从一个(15,6)循环码编译实验系统入手,分析研究其编、译码实现方法,并在仿真软件QUARTUS II 上具体实现。在实验中,输入6位信息码元CDIN ,经编码器编码后,可得到码长为15的输出信号CDOUT ,信道无错码条件下,把CDOUT 作为译码器的输入。 【关键词】循环码;可编程逻辑器件;EDA ;信道编码 【Abstract 】The CRC(Cyclic Redundancy Check)coder and decoder system was introduced.Starting from a(15,6)CRC coder system,the experiment analyzed its encoding,decoding method,and achieved simulation on QUARTUS II.In the experiment,inputting a 6bit informational code CDIN to the coder,a output code of 15bit may be obtained.Under the condition that the channel has no error,take CDOUT as the input of the decoder,the output signal is same to CDIN. 【Key words 】CRC ;L ogic device schematic ;EDA ;C hannel coding 图1 循环码编译码系统框图 ○IT论坛○100

相关主题
文本预览
相关文档 最新文档