当前位置:文档之家› 机械类模拟和数字电子技术实践

机械类模拟和数字电子技术实践

机械类模拟和数字电子技术实践
机械类模拟和数字电子技术实践

12-13学年第三学期机械类11级《模拟和数字电子技术实践》

教学计划与教学进度

一、学时与学分

学时:拟完成10个实验,期末现场考查2学时,计32个学时

实验内容可根据该学科具体教学要求选用。

二、目的

《模拟和数字电子技术实践》是机械类电工电子系列实践课程中的一门重要课程,它与该系《电工学》模拟和数字电子技术理论课程同时进行。本课程的教学目的是通过大纲所列内容的学习与实践环节的训练,提高学生理论的理解和设计水平及工程实践设计能力。

三、教学方式与基本要求

1、以自编《电子技术实践》为基本教材,每次实验为3学时。预习内容为:实验目的、实验原理及实验电路,实验内容及方法、原始参数记录表格、仪器使用、注意事项,认真撰写实验预习报告并由任课老师课内检查。未完成实验预习报告者原则上不得进行实验。首次实验应提前通知到每个学生做好预习报告,领取实验器材包,并每次带实验器材包前来实验。

2、每次实验必须按学号顺序填写签到表,每组一人,在教师指导下由学生独立完成,在原始参数记录表格中填写数据或画出波形曲线,教师应认真检查签到名单,预习报告,检查波形、曲线、数据,完成时间,并签名,标注日期,作为平时操作分的考核依据。

3、学生应独立规范地完成实验报告,严禁抄袭,抄袭者与被抄袭者一律记不及格,按任课教师要求及时交阅实验报告。每学期实验报告必需上交存档,不得缺少或丢失。

4、学生必须遵守实验室的规章制度,如不得迟到,大声喧哗,任意丢弃杂物,任意

更换仪器或损坏仪器设备(视情节处理或赔偿),做完实验未按要求放置仪器,整理探头,关仪器电源,在抽屉内外及地面丢弃杂物等,视情节记违纪一次,违纪三次者不得参加考查。

5、培养学生创新精神,鼓励学生设计新的实验电路、实验方法。教师应认真听取学

生对教学内容、方法等方面的积极建议,及时反馈,认真总结。

6、凡在实验安排的时间内,已正确完成必做的实验内容的同学可做选做内容。未按时完成实验的同学进入开放实验室(416室)继续完成必做实验内容,并由教师检查签字认可。

7、期末考查(设计操作,第十六周进行)。

四、教学内容安排

1、常用电子仪器使用P1(第五周)(3学时)

《常用电子仪器的使用》实验是实践环节中极其重要的训练过程,本学期实验践教学过程中每次实验测量数据、波形必须使用,要求学生牢固掌握仪器的基本原理、基本使用方法、使用注意事项,以适应科学实验和工程实践的需要。

预习要求:

(1)、预习教材p85-109附录一常用电子仪器的工作原理,熟悉各旋钮、开关的作用及使用方法,学生务必充分预习,否则本学期实践教学内容难以顺利完成。

(2)、针对实验内容的相关部分进行预习,如稳压电源输出电压的调整,示波器显示波形、读取波形幅度和周期的方法,零电平参考基线的调整,交流毫伏表读数的方法,信号发生器输出频率、电压幅度的调整等,并按要求做好预习报告。

实验内容:

1、熟悉示波器、函数发生器、交流毫伏表和直流稳压电源等常用电子仪器面板上各控制键的名称及作用。初步了解模拟实验箱的结构及接线的具体方法。

2、掌握常用电子仪器的使用方法。

(1)、电源的使用(DF1731S)。a. 、b.

(2)、示波器、函数发生器和交流毫伏表的使用。a.、b.、c.、d必做,完成表1-1-1,表1-1-2;e(选做)

(3)、必做。完成表1-1-3。

注意事项:

演示:(1)、表1-1-1各仪器的连接和测量方法演示;

(2)、叠加在直流上的正弦电压的测试;

(2)、相位差测量的演示,介绍测量方法。

思考题:教材P3 1、2、3、4、5、6、7、8、9、

2、单相可控整流电路P75(第六周)(3学时)

实验目的:

(1)、了解晶闸管和单结晶体管的结构、基本特征及管脚识别方法;

(2)、掌握单结晶体管的基本原理及触发电路的调试方法;

(3)、观察并画出单相可控整流电路中晶闸管及负载上的波形和晶闸管的控制角对整流电压的影响。

预习内容:复习单结晶体管、可控硅、稳压管的工作原理和主要参数的意义。了解由单结晶体管组成的触发电路中各元器件的作用,掌握其工作原理及测量方法。

实验内容:教材P78(1)、(2)、(3)、(4)、

实验器材及仪器:单相可控整流电路电路板,示波器,万用表等。

思考题:(1)、(2)、(3)

注意事项:

(1)、该实验关键是通过定量检测各点波形,进一步掌握单相可控整流电路的工作原理,波形的变化过程及数量关系。报告中要规范地绘制波形并标注测量参数值。

(2)、观察VA、VB波形必须共地,相减得VA-VB。

3、模拟运算电路(1)P35(第七周)(3学时)

实验目的:

(1)、掌握模拟电路实验箱的结构和使用方法;

(2)、深入理解虚短、虚断概念;

(3)、通过实验理解和掌握基本模拟运算电路的设计方法。

预习内容:

P35理想运算放大器两个重要特点,虚短、虚断概念,运放的线性运用,相位补偿,调零和平衡电阻的作用。由运放构成反向比例运算、同向比例运算、示波器X-Y显示方式显示电压传输特性的方法。

教材预习要求中的1)、2)、3)、6),将设计内容过程做在预习报告中。

实验内容:

(1)、反相输入比例运算电路图2-1-2,V CC=±15V Rf=100 k R1= R2=10k

①、输入直流信号Vi=±0.5V、±2V(用于观察非线性区情况),列表2-1-2,用万用表测量Vo值;计算Avf。

②、输入f=1kHZ正弦信号,调整输入信号Vi=0.1V有效值,用交流电压表测量Vo,计算Avf,

③、示波器X-Y显示方式,观察并画出电压传输特性。

④、将Rf=R1=10k构成反相器重测一遍,计算Avf。

(2)、同相输入比例运算电路(选做),图2-1-4

做法同上,并构成同相器重测一遍,实验数据表同上。

注意事项:

(1)、应预先调整稳压电源输出电压±V CC=±10V,正确接入电路中。(注意:实验箱电源输出有导向二极管)。

(2)、检查运放接插方向正确无误,方可连线。

(3)、输入直流电压由实验箱右上角510Ω和1 KΩ电位器分压提供,后续实验同。

思考题:P43 1、3、

实验器材及仪器:示波器、函数发生器、交流毫伏表和直流稳压电源,万用表,μA7411片、导线等。

4、模拟运算电路(2)P35(第八周)(3学时)

实验目的:

进一步深入理解虚短、虚断概念;通过实验理解和掌握基本模拟运算电路的设计方法。

预习内容:

理想运算放大器两个重要特点,虚短、虚断概念,运放的线性运用,相位补偿,调零和平衡电阻的作用。单电源交流放大器的工作原理,加(减)法运算、示波器X-Y显示方式显示电压传输特性的方法。

教材p43预习要求中的1)、2)、4)、5)、6),将设计内容过程做在预习报告中。

(1)、单电源反相比例交流放大器

①、P40 图2-1-7,V CC=+15V,用万用表直流电压20V档测量电路静态工作点V+、V-、Vo值,

②、输入f=1kHZ, Vi=0.1V的正弦信号, 用交流电压表测量输出电压Vo值,求Avf;

③、用示波器观察并画出C2两端波形(提示:首先确定零电平参考基线。示波器输入耦合方式再置于“DC”档,示波器两探头红夹子分别接C2两端,黑夹子接地),分析C2两端交、直流分量。

(2)、加法器、减法器(选做)。参考电路图2-1-5、图2-1-6

注意事项:

(1)、运放单电源供电时,应将实验箱上-V与GND相连。(负电源不接)

(2)、检查运放接插方向正确无误,方可连线。

(3)、电解电容不可反接。

(4)、用示波器观察含有直流分量的场合,首先示波器输入耦合方式置于GND确定零电平参考基线,再置于DC耦合方式,微调旋钮置于校准位置方可进行观察定量读数。

思考题:P43(2)、补充题:对用示波器观察的C2两端波形进行理论分析。

5、波形产生电路P55(第九周)(3学时)

实验目的:P55

预习内容:按教材中要求,复习P55正弦波振荡电路工作的原理,振荡条件,李沙育图形测频率的方法(课堂讲解演示),预先设计电路,确定参数,计算频率。复习P58方波发生器,占空比可调的矩形波信号发生器的工作原理和调试方法。

实验内容:

1、正弦波信号发生器P55

①、按P56 图2-4—1连接电路。

②、缓慢调整电位器R p使电路产生振荡用示波器观察稳定的最大不失真正弦波波形。测量输出电压有效值Vo,周期T,计算振荡频率?=1/T,与理论值比较并分析误差;

③、缓慢调整电位器R p使电路产生振荡用示波器观察并画出停振、正常波形、失真波形,在输出为稳定的最大不失真正弦波情况下用交流电压表测量V+、V-电压值,测量RF、

Rf的值(关闭电源测量),进行理论分析。

④、李沙育图形测频率。(选做)

⑤、C1、C2分别并联0.01μF电容重测频率,计算振荡频率。

2、方波信号发生器,p58图2-5—1(选做)

①、按p60实验内容完成。用示波器观察(R p最大和最小时观察的波形)并分别在同一个坐标轴上画出Vo、Vc,及V+、V-波形;并标注幅度、周期等参数值;

②、调节R p最大和最小时观察波形频率变化规律,测量f max、、、f min,并与理论值比较分析误差。

3、占空比可调的矩形波信号发生器,图2—5-3(选做)

思考题:P57 2)、3)、4) P60 1)

6、集成门电路P4(第十周)(3学时)

实验目的:

(1)、掌握数字电路实验箱的结构和使用方法;

(2)、掌握TTL集成门电路逻辑功能的测试方法;

预习内容:TTL集成门电路的使用规则及基本门电路的逻辑功能,P4 1、2、3、相关内容;掌握数字电路实验箱的使用方法,用示波器检测函数发生器TTL脉冲输出波形方法。所用集成块接脚图见P108附录二。

实验内容:

教材P5(1)、(2)、

(3)、1)(a)(b)、2)、

(4)(选做)

注意事项:

(1)、常用数字集成电路芯片多为双列直插式,其引脚数有14、16、20、24等多种,引脚识别方法是:正面对集成电路型号,从左下角开始按逆时针方向顺序递增。

(2)、插接元件前应首先在逻辑图上标注管脚号及功能符号,并检查管脚是否完好,不可弯曲,尽可能分色连线(如:红色-正电源,黑色-地,输入输出分色连线等).经仔细检查连线无误后方可通电实验,后续试验操作时都应按此要求进行。

思考题:P7 1)、2)、3)、

7、用SSI设计组合逻辑电路P7(第十一周)(3学时)

实验目的:

(1)、掌握组合逻辑电路的设计方法。

(2)、进一步熟练掌握数电实验箱的使用方法。

预习要求:

(1)、复习组合逻辑电路分析和设计方法,熟悉各门电路接脚图;

(2)、按实验内容要求预先设计电路:设置逻辑变量——列出真值表——卡诺图化简或逻辑代数化简——最简逻辑表达式——画出逻辑图(并在图上标注集成块相应接脚标号)。

(3)、预先画出数据表格供实验时填写,以便老师检查。

实验内容:P10 3、5、必做, 1、2、选做。

注意事项:

要求学生掌握如何利用手中的万用表检查电路。如检查是否正确接电源、接地,接

触不良,如何利用逻辑关系检查电路连接正确与否,以及集成块好坏等。

实验元件: 74LS00 3片, 74LS20 、74LS04各1片.

思考题:P11 1、3

补充题:(1)、简述组合逻辑电路分析方法;

(2)、用与非门设计一个多数表决电路,即当三个输入端中有二个以上为1时输出为1,否则输出为0。

8、MSI组合功能件的应用P12(第十二周)(3学时)

实验目的:

(1)、进一步熟练掌握组合逻辑电路的设计方法。

(2)、熟练掌握MSI组合功能件的设计与应用。

预习要求:、熟练掌握MSI组合功能件74LS153、74LS138的原理及应用,熟练运用功能表设计逻辑电路;

实验内容:

1、用译码器74LS138和与非门设计一个1位二进制全减器。要求预先设计电路。

2、用74LS153设计教材中P10 5判断血型是否符合规定的电路。

3、P15 1、2(选做)。

实验元件: 74LS153、74LS138、74LS00、74LS20、74LS86各1片.

思考题:P15 1、2

实验报告要求:

(1)、列出真值表——卡诺图化简——最简逻辑表达式——画出逻辑图(在图上标注集成块相应接脚标号及功能符号)。

(2)、对实验结果进行讨论。

仪器与器材:直流稳压电源1台;数电实验箱1台;数字万用表1只; 74LS00,74LS20, 74LS86, 74LS153 各1片

9、MSI时序功能件的应用P18(第十三周)(3学时)

预习内容:

(1)、复习D触发器、JK触发器逻辑功能,理解其功能表,拟出触发器功能测试表。

(2)、熟练掌握利用触发器及门电路设计简单功能电路的方法。并根据要求设计电路。要有设计过程。

实验内容:

(1)、P22 74LS112 JK触发器(下降沿触发)逻辑功能的测试(完成功能测试表(1—6—4);

(2)、74LS74 D触发器(上升沿触发)逻辑功能的测试(完成功能测试表(1—6—5)(选做);

(3)、P23 用74LS138及74LS112或74LS74设计广告流水灯,

①用单次脉冲静态验证;

②接f=1KHz TTL脉冲,双踪显示观察并按时序对应画出Cp端、触发器输出端Q0、Q1、Q2、Q3波形。

(4)、用74LS74或74LS112及与非门设计一个4人智力抢答电路(P106)(选做)

思考题:P24 1、2

实验元件: 74LS112、74LS74、74LS138、74LS00各1片.

10、计数器P25(第十四周)(3学时)

预习内容:

复习时序逻辑电路的设计方法。熟悉集成电路CD4518的逻辑功能表及使用方法,根据实验内容要求预先设计电路,画出逻辑电路图,并标注接脚号码和功能符号。

实验内容:P31 1、2

实验元件: CD4518、 74LS00各一片。

思考题:P31 1、2

11、期末现场考查:(第十五周)(2学时)

五、考核方法

1、实验态度:不迟到,不无故缺席, 遵守实验室规章制度,不违纪;

预习情况:对实验原理的预习和理解,实验内容的预先设计等;写出规范的预习报告(含实验目的、实验原理、实验内容、原始数据记录);课内教师检查。10%

3、课堂实验及预习情况:实验动手能力,独立分析和解决实验过程中问题的能力及创新能力;由老师检查实验数据波形及参数等;20%

4、实验报告:按时交阅实验报告,报告规范,对实验结果的分析及思考题解答情况(含数据处理及误差分析、思考题、实验仪器及器材、分析讨论及创新);20%

4、期末考查:现场设计操作。 50%

东南大学成贤学院电工电子实验中心

2012年12月24日

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1.时序逻辑电路一般由和两分组成。 2.十进制数(56)10转换为二进制数为和十六进制数为。 3.串行进位加法器的缺点是,想速度高时应采用加法器。 4.多谐振荡器是一种波形电路,它没有稳态,只有两个。 5.用6个D 触发器设计一个计数器,则该计数器的最大模值M=。 123(1(24.T ,图1 5 时,6.D 触发器 的Q 和Q1的表达式,并画出其波形。 图 D=Q n+1=Q 1= 7.已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表;

⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A 、B 、C 输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2ABCF 2求: (1(21.3.4.产生5.32 10分,共 70分) 1.解: 2.证明:左边 3.解: (1)化简该 函数为最简与或式: 解: F 3()43A B C D E A B C D E AB AC A D E =++++--------------=?+++--------------=++-------------分 分 分 ()()33()(1)22BC D B C AD B BC D BAD CAD BC BC BC D BA CA =++++--------------=++++--------------=++++-----------------------分 分分分

填对卡诺图圈对卡诺图-----------2分 由卡诺图可得: F A B A C D A C D B C B D =++++------------------------------2分 (2)画出用两级与非门实现的最简与或式电路图: 则可得电路图如下:------------------------------------------------2分 4.T 1=0.7T=0.7f= T 1=q= 1T T 5.6. 方程: n n n Q Q K Q 0 0000=+ 1111110(n n n n Q J Q K Q Q X +=+=⊕(2分) ③输出方程:n n Q Q Y 01=-----------------------------------------(1分) ④状态表:--------------------------------------------------------------------(3分) ⑤从状态表可得:为受X 控制的可逆4进制值计数器。-----------------------------(2分) 1.解:(1)依题意得真值表如下:--------------------------3分 0102J J Q ⊕(分)

西北工业大学-数字电子技术基础-实验报告-实验2

数字电子技术基础第二次实验报告 一、题目代码以及波形分析 1. 设计一款可综合的2选1多路选择器 ①编写模块源码 module multiplexer(x1,x2,s,f); input x1,x2,s; output f; assign f=(~s&x1)|(s&x2); endmodule ②测试模块 `timescale 1ns/1ps module tb_multiplexer; reg x1_test; reg x2_test; reg s_test; wire f_test; initial s_test=0;

always #80 s_test=~s_test; initial begin x1_test=0; x2_test=0; #20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; #20 x1_test=0; x2_test=0;

#20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; end multiplexer UUT_multiplexer(.x1(x1_test),.x2(x2_test),.s(s_test),.f(f_test)); endmodule ③仿真后的波形截图

④对波形的分析 本例目的是令s为控制信号,实现二选一多路选择器。分析波形图可以知道,s为0时,f 输出x1信号;s为1时,f输出x2信号。所以实现了目标功能。 2. 设计一款可综合的2-4译码器 ①编写模块源码 module dec2to4(W,En,Y); input [1:0]W; input En; output reg [0:3]Y; always@(W,En) case({En,W}) 3'b100:Y=4'b1000; 3'b101:Y=4'b0100; 3'b110:Y=4'b0010;

(完整版)数字电子技术基础模拟试题A及答案

74LS191功能表 LD CT D U / CP D 0 D 1 D 2 D 3 Q 0 Q 1 Q 2 Q 3 0 × × × d 0d 1 d 2 d 3 1 0 0 ↑ ×××× 1 0 1 ↑ ×d 0 d 1 d 2 d 3 加法计数 减法计数 命 题 人 : 审 题 人 : 命 题 时 间 : 系名 专业 年级、班 学号 姓名 数字电子技术 课程试题( 卷) 题号 一 二 三 四 五 六 七 八 九 十 总分 得分 (请将答案写在答题纸上,答在试卷上不给分) 一. 选择题(16分) 1.已知A B A B B A Y +++=,下列结果正确的是( ) a . Y =A b .Y=B c .A B Y += d .Y=1 2.已知A=(10.44)10(下标表示进制),下列结果正确的是( ) a . A=(1010.1)2 b .A=(0A .8)16 c . A=(12.4)8 d .A=(20.21)5 3.下列说法不正确的是( ) a .当高电平表示逻辑0、低电平表示逻辑1时称为正逻辑 b .三态门输出端有可能出现三种状态(高阻态、高电平、低电平) c .OC 门输出端直接连接可以实现正逻辑的线与运算 d .集电极开路的门称为OC 门 4.以下错误的是( ) a .数字比较器可以比较数字大小 b . 半加器可实现两个一位二进制数相加 c .编码器可分为普通全加器和优先编码器 d .上面描述至少有一个不正确 5.下列描述不正确的是( ) a .触发器具有两种状态,当Q=1时触发器处于1态 b .时序电路必然存在状态循环 c .异步时序电路的响应速度要比同步时序电路的响应速度慢 d .主从JK 触发器具有一次变化现象 6.电路如下图(图中为上升沿Jk 触发器),触发器当前状态Q 3 Q 2 Q 1为“100”,请问在时钟作用下,触发器下一状态(Q 3 Q 2 Q 1)为( ) a .“101” b .“100” c .“011” d .“000” 7.电路如下图,已知电路的当前状态Q 3 Q 2 Q 1 Q 0为“1100”,74LS191具有异步置数的逻辑功能,请问在时钟作用下,电路的下一状态(Q 3 Q 2 Q 1 Q 0)为( ) a .“1100” b .“1011” c .“1101” d .“0000” 8.下列描述不正确的是( ) a .EEPROM 具有数据长期保存的功能且比EPROM 在数据改写上更方便 b .DAC 的含义是数-模转换、ADC 的含义是模数转换 c .积分型单稳触发器电路只有一个状态 d .上面描述至少有一个不正确 二.判断题(9分) 1.TTL 输出端为低电平时带拉电流的能力为5mA ( ) 2.TTL 、CMOS 门中未使用的输入端均可悬空( ) 3.当决定事件发生的所有条件中任一个(或几个)条件成立时,这件事件就会发生,这种因果关系称为与运算。() 4.将代码状态的特点含义“翻译”出来的过程称为译码。实现译码操作的电路称为译码器。() 5.设计一个3进制计数器可用2个触发器实现( ) 6.移位寄存器除了可以用来存入数码外,还可以利用它的移存规律在一定的范围内构成任意模值n 的计数器。所以又称为移存型计数器( ) 7. 判断时序逻辑电路能否自启动可通过判断该电路是否存在有效循环来实现( ) 8. 施密特触发器电路具有两个稳态,而多谐振荡器电路没有稳态( ) 9. DRAM 需要定期刷新,因此,在微型计算机中不如SRAM 应用广泛( ) 三.计算题(8分) 1、在如图所示电路中,U cc =5V ,U BB =9V ,R 1=5.1kΩ, R 2=15kΩ,R c =1kΩ,β=40,请计算U I 分别为5V ,0.3V 时输出U O 的大小?。 密 线 封 A B

模拟电子技术总结

模拟电子技术》院精品课程建设与实践 成果总结 模拟电子技术是一门在电子技术方面入门性质的技术基础课程,它既有自身的理论体系,又有很强的实践性;是高等院校工科电子信息、电气信息类各专业和部分非电类本科生必修的技术基础课,而且随着电子工业的飞速发展和计算机技术的迅速普及,它也不断成为几乎所有理工科本科生的必修课程。 我院模拟电子技术课程由原电子技术系首先开设,目前已建成由模拟电子技术、模拟电子技术基础实验、模拟电子技术课程设计三门课组成的系列课程。2002 年被列为学院精品课重点建设项目,2005 年获得学院教学成果一等奖。同年申报并获得四川省教学成果三等奖。 一、基本内容 1.确定课程在本科生基本素质培养中的地位和作用由于模拟电子技术课程的基础性和广泛性,使之在本科教育中起着重要的作用。通过学习,不但使学生掌握电子技术的基本概念、基本电路、基本分析方法和基本实验技能,而且由于本课程特别有利于学生系统集成的能力、综合应用能力、仿真能力的培养,可使学生建立以下几个观点,形成正确的认识论。 (1)系统的观念:一个电子系统从信号的获取和输入、中间的处理到最后的输出和对负载的驱动,各部分电路之间的功能作用、增益分配、参数设置、逻辑关系……都需相互协调、相互制约,只有不顾此失彼、通盘考虑、全面调试才能获得理想效果。 (2)工程的观念:数学、物理的严格论证及精确计算到工程实际之间往往有很大差距,电子技术中“忽略次要,抓住主要”的方法能引导学生的思维更切合工程实际。因而特别有利于学生工程观念的培养。 (3)科技进步的观念:电子技术的发展,电子器件的换代,比其它任何技术都快,学习电子技术可以让人深刻地体会到,在科学技术飞速发展的时代,只有不断更新知识,才能不断前进。学习时应着眼于基础,放眼于未来。 (4)创新意识:在阐述电子器件的产生背景、电路构思、应用场合等问题时特别具有启发性,电子电路可在咫尺之间产生千变万化,能够充分发挥学生的想象力和创造力,因而特别有利于创新意识和创新能力的培养。我们加强了场效应电路、集成电路和可编程模拟器件等新知识的介绍,拓宽了知识面,延续了所学知识的生命周期。 上述观念的培养,不仅为学生学习后续课铺平道路,而且培养了他们科学的思维方式和不断进取的精神,即使在工作后还会起作用,将受益一生。 2.创建先进科学的模拟电子技术课程教学结构电子技术学科是突飞猛进发展的学科,如何更好地解决基础与发展、基础知识与实际应用、理论与实践等矛盾,处理好知识的“博”新“”“深”的关系,建立先进和科学的教学结构,以适应不断更新的课程内容体系始终是我们改革的重点。 本课程建立起课堂教学、实验教学、网络教学和EDA 教学交叉融合的教学结构,如图所示。各教学环节各司其职,相辅相成,互相交融,实现“加强基础,注重实践,因材施教,促进创新”的同一个目标。

数字电子技术基础实验

《数字电子技术基础实验》 实验报告 学院: 学号: 姓名: 专业: 实验时间: 实验地点: 2016年12月

Figure 5.51n位移位寄存器 一、实验目的及要求 编写testbench 验证Figure 5.51源代码功能,实现n位移位寄存器。 了解并熟悉移位寄存器的工作原理功能; 熟悉n位移位寄存器的逻辑功能。 所需功能:实现所需功能需要R,Clock,L,w,Q,5个变量,其中参数n 设为缺省值16,以定义触发器的个数。 当时钟信号Clock从0变为1时刻,正边沿触发器做出响应: 当L=0时,对输出结果Q进行向右移位,将w的值赋给Q的 最高位,实现移位; 当L=1时,将输入R的值寄存在Q中; 所需EDA工具及要求: Modelsim: 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测 试,观察仿真波形图并进行分析等; Synplify Pro: 1、使用Synplify Pro对Figure 5.51进行综合,得到RTL View、 Technology View、综合报表等,进行观察、分析等; 二、实验内容与步骤 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 本题实现的是一个n位移位寄存器,触发器对时钟信号Clock敏感,为正边沿敏感型。L实现对Q的控制,若L=1,则将R寄存到Q中;若L=0,则对Q向右移位。 如下图是一个4位移位寄存器 图表说明了该四位移位寄存器的移位过程

module shiftn (R, L, w, Clock, Q); parameter n = 16; input [n-1:0] R; input L, w, Clock; output reg [n-1:0] Q; integer k; always @(posedge Clock) if (L) Q <= R; else begin for (k = 0; k < n-1; k = k+1) Q[k] <= Q[k+1]; Q[n-1] <= w; end endmodule 这是可用于表示任意位宽的移位寄存器的代码,其中参数n设为缺省值16,以定义触发器的个数。R和Q的位宽用n定义,描述移位操作的else 分支语句用for循环语句实现,可适用于由任意多个触发器组成的移位操作。 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测试,观察仿真波形图并进行分析等; `timescale 1ns/1ns module shiftn_tb;

数字电子技术基础--第一章练习题及参考答案

第一章数字电路基础 第一部分基础知识 一、选择题 1.以下代码中为无权码的为。 A. 8421BCD码 B. 5421BCD码 C.余三码 D.格雷码 2.以下代码中为恒权码的为。 A.8421BCD码 B. 5421BCD码 C.余三码 D.格雷码 3.一位十六进制数可以用位二进制数来表示。 A.1 B.2 C.4 D. 16 4.十进制数25用8421BCD码表示为。 A.10 101 B.0010 0101 C.100101 D.10101 5.在一个8位的存储单元中,能够存储的最大无符号整数是。 A.(256)10 B.(127)10 C.(FF)16 D.(255)10 6.与十进制数(53.5)10等值的数或代码为。 A.(0101 0011.0101)8421BCD B.(35.8)16 C.(110101.1)2 D.(65.4)8 7.矩形脉冲信号的参数有。 A.周期 B.占空比 C.脉宽 D.扫描期 8.与八进制数(47.3)8等值的数为: A. (100111.011)2 B.(27.6)16 C.(27.3 )16 D. (100111.11)2 9.常用的B C D码有。 A.奇偶校验码 B.格雷码 C.8421码 D.余三码 10.与模拟电路相比,数字电路主要的优点有。 A.容易设计 B.通用性强 C.保密性好 D.抗干扰能力强 二、判断题(正确打√,错误的打×) 1. 方波的占空比为0.5。() 2. 8421码1001比0001大。() 3. 数字电路中用“1”和“0”分别表示两种状态,二者无大小之分。() 4.格雷码具有任何相邻码只有一位码元不同的特性。() 5.八进制数(18)8比十进制数(18)10小。() 6.当传送十进制数5时,在8421奇校验码的校验位上值应为1。()

数字电子技术模拟试题及答案

数字电子技术模拟试题及 答案 Prepared on 24 November 2020

《数字电子技术》模拟试题 一、填空题(每题2分,共20分) 1、十六进制数97,对应的十进制数为 (1) 。 2、“至少有一个输入为0时,输出为 (2) ”描述的是与运算的规则。 3、 (3) 变量逻辑函数有16个最小项。 4、基本逻辑运算有: (4) 、 (5) 和 (6) 运算。 5、两二进制数相加时,不考虑低位的进位信号是 (7) 加器。 6、TTL 器件输入脚悬空相当于输入 (8) 电平。 7、RAM 的三组信号线包括: (9) 线、地址线和控制线。 8、采用四位比较器对两个四位数比较时,先比较 (10) 位。 二、单项选择题(每个3分,共15分) 1、图1的国标逻辑符号中 (11) 是异或门。 图1 2、下列逻辑函数表达式中可能存在竞争冒险的是 (12) 。 A ))((C B B A F ++= B ))((C B B A F ++= C ))((C B B A F ++= D ))((C B B A F ++= 3、下面逻辑式中,不正确的是_ (13)____。 A.C B A ABC ??= B. A AB A += C. ()A A B A += D. AB BA = 4、时序逻辑电路中必须有___(14)___。 A. 输入逻辑变量 B. 时钟信号 C. 计数器 D. 编码 器

5、有S1,S2两个状态,条件(15)可以确定S1和S2不等价。 A. 输出相同 B. 输出不同 C. 次态相同 D. 次态不同 三、简答题(共10分) 1、证明:B A+ = +(4分) A A B 2、某逻辑函数的真值表如表1所示,画出卡诺图。(6分) 表1 某逻辑函数的真值表 A B C F 0 0 0 0 0 0 1 1 0 1 0 1 0 1 1 X 1 0 0 X 1 0 1 0 1 1 0 1 1 1 1 X 四、分析题(20分) Z 图2 分析图2所示电路的逻辑功能。 1)列出其时钟方程:(2分) CP1=;CP0=。 2)列出其驱动方程:(4分) J1=;K1=;J0=;K0=。 3)列出其输出方程:(1分) Z=

数字电子技术基础试题及答案 (1)

. 数字电子技术基础期末考试试卷 一、填空题 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题: 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度 T ,振荡频率f 和占空比q 。 ………………………密……………………封…………………………装…………………订………………………线……………………… 系别 专业(班级) 姓名 学号

图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。 图3 ………………封…………………………装…………………订………………………线………………………

D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 三、设计题:(每10分,共20分) 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 2.试用74161、3-8译码器和少量门电路,实现图5所示波形VO1、VO2,其中CP为输入波形。要求: (1)列出计数器状态与V01、V02的真值表;

数字电子技术模拟试题14答案

泰山学院物理与电子工程学院 《数字电子技术》试卷14参考答案与评分标准 一、选择题(每小题 2 分,共 20 分) 二、填空题(每空1分,共 20 分) 1、100111.11 47.6 27.C 2、逻辑表达式 真值表 逻辑图 波形图 卡诺图 3、A+BC+0 4、0 A ′ 5、五 三 能 6、C B A ABC C B A BC A Y ''++'+'= 7、阴 阳 8、R S =0 9、1 暂稳态 三、化简题(每题 5分,共 10 分) 1、1、Y 1=A+C D ' (可以用不同方法,但要有步骤,无步骤扣2分) 2、 C A C A B Y '+'+=2 四、分析题(前3题每题10分,第4题5分,共35分)

1、 ……………(3分) ………………(3分) …………(2分) 这是一个全加器电路 ………………(2分) 2、)(*1'=AC Q CLK 下降沿……………………3分 2 * 2)(Q B A Q '⊕= C R D =' CLK 下降沿………………3分 每个波形2分,共4分。 3、驱动方程:J 0=K 0=Q 2′ ……………………1分 J 1=K 1=Q 0 ……………………1分 J 2=Q 0Q 1 K 2=Q 2……………1分 状态方程:Q 0*= J 0Q 0′+K 0′Q 0=Q 2⊙Q 0……………1分 Q 1* = J 1Q 1′+K 1′Q 1=Q 1⊕Q 0……………1分 Q 2*= J 2Q 2′+K 2′Q 2=Q 2′Q 1Q 0……………1分 ABCI I C B A I BC A CI B A D A A D A A D A A D A A Z +''+''+''=+'+'+''=13011201110110011AB CI B A BCI A AB CI B A BCI A B A D A A D A A D A A D A A Z +'+'=?+'+'+?''=+'+'+''=102301220121012001 2

数字电子技术基础习题及答案

数字电子技术基础考题 一、填空题:(每空3分,共15分) 1.逻辑函数有四种表示方法,它们分别是(真值表)、(逻辑图)、(逻辑表达式)和(卡诺图)。 2.将2004个“1”异或起来得到的结果是(0 )。 3.由555定时器构成的三种电路中,()和()是脉冲的整形电路。4.TTL器件输入脚悬空相当于输入(高)电平。 5.基本逻辑运算有: (and )、(not )和(or )运算。 6.采用四位比较器对两个四位数比较时,先比较(最高)位。 7.触发器按动作特点可分为基本型、(同步型)、(主从型)和边沿型;8.如果要把一宽脉冲变换为窄脉冲应采用(积分型单稳态)触发器 9.目前我们所学的双极型集成电路和单极型集成电路的典型电路分别是(TTL )电路和(CMOS )电路。 10.施密特触发器有(2)个稳定状态.,多谐振荡器有(0 )个稳定状态。 11.数字系统按组成方式可分为功能扩展电路、功能综合电路两种;12.两二进制数相加时,不考虑低位的进位信号是(半)加器。 13.不仅考虑两个_______本位_____相加,而且还考虑来自___低位进位____相加的运算电路,称为全加器。 14.时序逻辑电路的输出不仅和___该时刻输入变量的取值______有关,而且还与_电路原来的状态_______有关。 15.计数器按CP脉冲的输入方式可分为__同步计数器和____异步计数器_。 16.触发器根据逻辑功能的不同,可分为_____rs______、______jk_____、___t________、___d________、___________等。 17.根据不同需要,在集成计数器芯片的基础上,通过采用__反馈归零法_________、__预置数法_________、__进位输出置最小数法__等方法可以实现任意进制的技术器。 18.4. 一个JK 触发器有 2 个稳态,它可存储 1 位二进制数。 19.若将一个正弦波电压信号转换成同一频率的矩形波,应采用多谐振荡器电路。20.把JK触发器改成T触发器的方法是 j=k=t 。 21.N个触发器组成的计数器最多可以组成2n 进制的计数器。 22.基本RS触发器的约束条件是rs=0 。

数字电子技术实验报告

实验一组合逻辑电路设计与分析 1.实验目的 (1)学会组合逻辑电路的特点; (2)利用逻辑转换仪对组合逻辑电路进行分析与设计。 2.实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻输入信号的取值组合。根据电路确定功能,是分析组合逻辑电路的过程,一般按图1-1所示步骤进行分析。 图1-1 组合逻辑电路的分析步骤 根据要求求解电路,是设计组合逻辑电路的过程,一般按图1-2所示步骤进 行设计。 图1-2 组合逻辑电路的设计步骤 3.实验电路及步骤 (1)利用逻辑转换仪对已知逻辑电路进行分析。 a.按图1-3所示连接电路。 b.在逻辑转换仪面板上单击由逻辑电路转换为真值表的按钮和由真值表导出 简化表达式后,得到如图1-4所示结果。观察真值表,我们发现:当四个输入变量A,B,C,D中1的个数为奇数时,输出为0,而当四个输入变量A,B,C,D 中1的个数为偶数时,输出为1。因此这是一个四位输入信号的奇偶校验电路。

(2)根据要求利用逻辑转换仪进行逻辑电路的设计。 a.问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火 灾探测器。为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。 b.在逻辑转换仪面板上根据下列分析出真值表如图1-5所示:由于探测器发出 的火灾探测信号也只有两种可能,一种是高电平(1),表示有火灾报警;一种是低电平(0),表示正常无火灾报警。因此,令A、B、C分别表示烟感、温感、紫外线三种探测器的探测输出信号,为报警控制电路的输入、令F 为报警控制电路的输出。 图1-4 经分析得到的真值表和表达式

数字电子技术模拟试题4套

模拟试题一 一、选择填空(每空1分,共20分) 1.纯净的半导体叫()。掺入3价杂质元素形成的半导体叫(),它主要靠导电()。 A.空穴B.本征半导体C.P型半导体D.自由电子 2.PN结正偏时,多子的()运动较强,PN结变薄,结电阻较()。 A.扩散B.漂移C.小D.大 3.三极管有()和()两种载流子参与导电,故称作()极型晶体管;而场效应管称作()极型晶体管。 A.双极B.空穴C.单极D.自由电子 4.负反馈放大电路的含义是()。 A.输出与输入之间有信号通路 B.电路中存在反向传输的信号通路 C.除放大电路之外还有信号通路 D.电路中存在使输入信号削弱的反向传输信号 5.一个放大电路的对数频率特性的水平部分为40dB,当信号频率恰好是上限频率时,实际电压增益为()。 A.43dB B.40dB C.37dB D.3dB 6.通常在下面基本组态放大电路中,输入电阻最大的是();输出电阻最小的是();高频特性最好的电路是()。 A.共射电路B.共集电路C.共基电路D.共源电路 7.集成放大电路采用直接耦合方式的原因是()。 A.便于设计B.放大交流信号C.不易制作大容量电容 8.功率放大电路互补输出级采用共集形式是为了使()。 A.电压放大倍数大B.不失真输出电压大C.带负载能力强 9.欲得到电流-电压转换电路,应在放大电路中引入();欲将电压信号转换成与之成比例的电流信号,应在放大电路中引入()。A.电压串联负反馈B.电压并联负反馈C.电流串联负反馈D.电流并联负反馈 10.为了避免50Hz电网电压的干扰进入放大器,应选用()滤波电路。 A.带阻B.带通C.低通D.有源 11.直流稳压电源的基本组成有变压器、整流、()、稳压。 A.比较B.滤波C.调整 二、判断正误(每题2分,共10分) 1.因为N型半导体的多子是自由电子,所以它带负电。() 2.电路只要满足,就一定会产生正弦波振荡。() 3.放大电路必须加上合适的直流电源才能正常工作。() 4.若放大电路的放大倍数为负,则引入的反馈一定是负反馈。() 5.功率放大电路的最大输出功率是指在基本不失真情况下,负载上可能获得的最大交流功率。() 三、简答题 1.设图3-1中二极管、为理想二极管,判断它们是导通还是截止?输出电压= ?(4分) 2.测得放大电路中晶体管的直流电位如图3-2所示。在圆圈中画出管子,并说明是硅管还是锗管。 四、(6分)根据图4某共射单放电路中三极管的输出特性曲线及交、直流负载线,试求:(1)静态Q点;(2)三极管电流放大系数β;(3)集电极电阻;(4)最大不失真输出电压幅度。

模拟电子技术总结

《模拟电子技术》院精品课程建设与实践 成果总结 模拟电子技术是一门在电子技术方面入门性质的技术基础课程,它既有自身的理论体系,又有很强的实践性;是高等院校工科电子信息、电气信息类各专业和部分非电类本科生必修的技术基础课,而且随着电子工业的飞速发展和计算机技术的迅速普及,它也不断成为几乎所有理工科本科生的必修课程。 我院模拟电子技术课程由原电子技术系首先开设,目前已建成由模拟电子技术、模拟电子技术基础实验、模拟电子技术课程设计三门课组成的系列课程。2002年被列为学院精品课重点建设项目,2005年获得学院教学成果一等奖。同年申报并获得四川省教学成果三等奖。 一、基本内容 1.确定课程在本科生基本素质培养中的地位和作用 由于模拟电子技术课程的基础性和广泛性,使之在本科教育中起着重要的作用。通过学习,不但使学生掌握电子技术的基本概念、基本电路、基本分析方法和基本实验技能,而且由于本课程特别有利于学生系统集成的能力、综合应用能力、仿真能力的培养,可使学生建立以下几个观点,形成正确的认识论。 (1)系统的观念:一个电子系统从信号的获取和输入、中间的处理到最后的输出和对负载的驱动,各部分电路之间的功能作用、增益分配、参数设置、逻辑关系……都需相互协调、相互制约,只有不顾此失彼、通盘考虑、全面调试才能获得理想效果。 (2)工程的观念:数学、物理的严格论证及精确计算到工程实际之间往往有很大差距,电子技术中“忽略次要,抓住主要”的方法能引导学生的思维更切合工程实际。因而特别有利于学生工程观念的培养。 (3)科技进步的观念:电子技术的发展,电子器件的换代,比其它任何技术都快,学习电子技术可以让人深刻地体会到,在科学技术飞速发展的时代,只有不断更新知识,才能不断前进。学习时应着眼于基础,放眼于未来。 (4)创新意识:在阐述电子器件的产生背景、电路构思、应用场合等问题时特别具有启发性,电子电路可在咫尺之间产生千变万化,能够充分发挥学生的想象力和创造力,因而特别有利于创新意识和创新能力的培养。我们加强了场效应电路、集成电路和可编程模拟器件等新知识的介绍,拓宽了知识面,延续了所学知识的生命周期。 上述观念的培养,不仅为学生学习后续课铺平道路,而且培养了他们科学的思维方式和不断进取的精神,即使在工作后还会起作用,将受益一生。 2.创建先进科学的模拟电子技术课程教学结构 电子技术学科是突飞猛进发展的学科,如何更好地解决基础与发展、基础知识与实际应用、理论与实践等矛盾,处理好知识的“博”“新”“深”的关系,建立先进和科学的教学结构,以适应不断更新的课程内容体系始终是我们改革的重点。 本课程建立起课堂教学、实验教学、网络教学和EDA教学交叉融合的教学结构,如图所示。各教学环节各司其职,相辅相成,互相交融,实现“加强基础,注重实践,因材施教,促进创新”的同一个目标。 模拟电子技术的教学结构 (1)加强课堂教学的基础性,突出基本内容 基础性是指其具有广泛性和适应性,即本课程的基本概念、原理、法则及它们之间那

数字电子技术基础试卷及答案套

数字电子技术基础1 一.1.(15分) 试根据图示输入信号波形分别画出各电路相应的输出信号波形L1、L2、L3、L4、和L5。设各触发器初态为“0”。 二.(15分) 已知由八选一数据选择器组成的逻辑电路如下所示。试按步骤分析该电路在M1、M2取不同值时(M1、M2取值情况如下表所示)输出F的逻辑表达式。 八选一数据选择器输出端逻辑表达式为:Y=Σm i D i,其中m i是S2S1S0最小项。 三.(8分) 试按步骤设计一个组合逻辑电路,实现语句“A>B”,A、B均为两位二进制数,即A (A1、A0),B(B1、B0)。要求用三个3输入端与门和一个或门实现。 四.(12分) 试按步骤用74LS138和门电路产生如下多输出逻辑函数。 74LS138逻辑表达式和逻辑符号如下所示。 五.(15分) 已知同步计数器的时序波形如下图所示。试用维持-阻塞型D触发器实现该计数器。要求按步骤设计。 六.(18分) 按步骤完成下列两题 1.分析图5-1所示电路的逻辑功能:写出驱动方程,列出状态转换表,画出完全状态转换图和时序波形,说明电路能否自启动。 2.分析图5-2所示的计数器在M=0和M=1时各为几进制计数器,并画出状态转换图。 图5-1

图5-2 七. 八.(10分) 电路下如图所示,按要求完成下列问题。 1.指出虚线框T1中所示电路名称. 2.对应画出V C 、V 01、A 、B 、C 的波形。并计算出V 01波形的周期T=?。 数字电子技术基础2 一.(20分)电路如图所示,晶体管的β=100,Vbe=0.7v 。 (1)求电路的静态工作点; (2) 画出微变等效电路图, 求Au 、r i 和r o ; (3)若电容Ce 开路,则将引起电路的哪些动态参数发生变化?并定性说明变化趋势. 二.(15分)求图示电路中a U 、b U 、b U 、c U 及L I 。 三.(8分)逻辑单元电路符号和具有“0”、“1”逻辑电平输入信号X 1如下图所示,试分别画出各单元电路相应的电压输出信号波形Y 1、Y 2、Y 3。设各触发器初始状态为“0”态。 四.(8分)判断下面电路中的极间交流反馈的极性(要求在图上标出瞬时极性符号)。如为负反馈,则进一步指明反馈的组态。 (a ) (b )

数字电子技术基础知识总结

数字电子技术基础知识总结引导语:数字电子技术基础知识有哪些呢?接下来是小编为你带来收集整理的文章,欢迎阅读! 处理模拟信号的电子电路。“模拟”二字主要指电压(或电流)对于真实信号成比例的再现。 其主要特点是: 1、函数的取值为无限多个; 2、当图像信息和声音信息改变时,信号的波形也改变,即模拟信号待传播的信息包含在它的波形之中(信息变化规律直接反映在模拟信号的幅度、频率和相位的变化上)。 3.初级模拟电路主要解决两个大的方面:1放大、2信号源。 4、模拟信号具有连续性。 用数字信号完成对数字量进行算术运算和逻辑运算的电路称为数字电路,或数字系统。由于它具有逻辑运算和逻辑处理功能,所以又称数字逻辑电路。 其主要特点是: 1、同时具有算术运算和逻辑运算功能 数字电路是以二进制逻辑代数为数学基础,使用二进制数字信号,既能进行算术运算又能方便地进行逻辑运算(与、或、非、判断、比较、处理等),因此极其适合于运算、比较、存储、传输、控制、决策等应用。

2、实现简单,系统可靠 以二进制作为基础的数字逻辑电路,可靠性较强。电源电压的小的波动对其没有影响,温度和工艺偏差对其工作的可靠性影响也比模拟电路小得多。 3、集成度高,功能实现容易 集成度高,体积小,功耗低是数字电路突出的优点之一。电路的设计、维修、维护灵活方便,随着集成电路技术的高速发展,数字逻辑电路的集成度越来越高,集成电路块的功能随着小规模集成电路(SSI)、中规模集成电路(MSI)、大规模集成电路(LSI)、超大规模集成电路(VLSI)的发展也从元件级、器件级、部件级、板卡级上升到系统级。电路的设计组成只需采用一些标准的集成电路块单元连接而成。对于非标准的特殊电路还可以使用可编程序逻辑阵列电路,通过编程的方法实现任意的逻辑功能。 模拟电路是处理模拟信号的电路;数字电路是处理数字信号的电路。 模拟信号是关于时间的函数,是一个连续变化的量,数字信号则是离散的量。因为所有的电子系统都是要以具体的电子器件,电子线路为载体的,在一个信号处理中,信号的采集,信号的恢复都是模拟信号,只有中间部分信号的处理是数字处理。具体的说模拟电路主要处理模拟信号,不随时间变化,时间域和值域上均连续的信号,如语音信号。而数

《模拟电子技术》课程标准

《模拟电子技术》课程标准 课程名称:模拟电子技术 适用专业:电气自动化技术 1、课程性质和任务 《模拟电子技术》是电子自动化技术专业的专业主干课,是一门实践性很强的技术应用型课程。通过本课程的学习使学生获得模拟电路的基本理论,具有识别与选用元器件的能力;具有电路图识图、绘图能力;具有对电路焊接、制作、测量、调试、故障排除、维修的能力;具有对模拟电路进行基本分析、计算的能力;具有对常用电路进行设计、调试、检测、维护的能力。本课程不仅为专业课学习打下基础,为培养再学习能力服务,而且为后续课程的学习形成专业职业能力打好基础。 2、职业行动领域(典型工作任务)描述 模拟电子技术是理论性、实践性、应用性较强的课程,为体现其特点,本课程以分立放大电路和直流稳压电源为载体,理论与实践紧密结合。采用分模块教学方法,并根据每一模块安排其对应的教学内容,由浅入深、由简单到复杂逐步递进。如分立放大电路,掌握二极管与三极管的应用;会分析和测试放大电路性能指标;能区别各种放大电路;以及会分析各种反馈电路,并能用仿真技术验证分析结果。 在教学过程中采用理论与实践教学相统一的授课形式,以问题导向学习为重要手段,通过贯穿始终的交流讨论等交际教学表现形式,引导、启发学生以形成自主知识建构学习的可持续发展关键能力。同时,尽可能多地采用现场教学模式,增加学生的感性认识以提高学习兴趣。 3、课程目标 根据专业培养目标,确定了“以应用为目的、强调基础、突出重点、够用为度”的原则。模拟电子技术课程以提升每个学生的素质、知识和能力为总目标。通过本课程的学习,学生对电子线路有了感性认识;对模拟电子技术理论有了基本理解;学会了电子职业的部分操作技能;对行业标准和规范有了一定的了解;初步形成对电子线路和电子设备的整体认识;能够制作、分析和调试简单的模拟电子技术。 (一)知识目标

数字电子技术基础试卷及答案

数字电子技术基础试题(一) 一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 2.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是()。

A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路()。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为()。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为()。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式

数字电子技术基础模拟试题A_及答案

74LS191功能表 LD CT D U/CP D0 D1D2D3Q0 Q1Q2Q3 0 ×××d0d1d2d3 1 0 0 ↑××××1 0 1 ↑××××1 1 ××××××d0d1d2d3加法计数减法计数 保持 一.选择题(16分) 1.已知A B A B B A Y+ + + =,下列结果正确的是() a.Y=A b.Y=B c.A B Y+ =d.Y=1 2.已知A=(10.44)10(下标表示进制),下列结果正确的是() a.A=(1010.1)2b.A=(0A.8)16 c.A=(12.4)8d.A=(20.21)5 3.下列说法不正确的是() a.当高电平表示逻辑0、低电平表示逻辑1时称为正逻辑 b.三态门输出端有可能出现三种状态(高阻态、高电平、低电平) c.OC门输出端直接连接可以实现正逻辑的线与运算 d.集电极开路的门称为OC门 4.以下错误的是() a.数字比较器可以比较数字大小 b.半加器可实现两个一位二进制数相加 c.编码器可分为普通全加器和优先编码器 d.上面描述至少有一个不正确 5.下列描述不正确的是() a.触发器具有两种状态,当Q=1时触发器处于1态 b.时序电路必然存在状态循环 c.异步时序电路的响应速度要比同步时序电路的响应速度慢 d.主从JK触发器具有一次变化现象 6.电路如下图(图中为上升沿Jk触发器),触发器当前状态Q3 Q2 Q1为“100”,请问在时钟作用下,触发器下一状态(Q3 Q2 Q1)为() a.“101”b.“100”c.“011”d.“000”7.电路如下图,已知电路的当前状态Q3Q2Q1Q0为“1100”,74LS191具有异步置数的逻辑功能,请问在时钟作用下,电路的下一状态(Q3 Q2 Q1 Q0)为() a.“1100”b.“1011” c.“1101”d.“0000” 8.下列描述不正确的是() a.EEPROM具有数据长期保存的功能且比EPROM在数据改写上更方便 b.DAC的含义是数-模转换、ADC的含义是模数转换 c.积分型单稳触发器电路只有一个状态 d.上面描述至少有一个不正确 二.判断题(9分) 1.TTL输出端为低电平时带拉电流的能力为5mA() 2.TTL、CMOS门中未使用的输入端均可悬空() 3.当决定事件发生的所有条件中任一个(或几个)条件成立时,这件事件就会发生,这种因果关系称为与运算。() 4.将代码状态的特点含义“翻译”出来的过程称为译码。实现译码操作的电路称为译码器。()5.设计一个3进制计数器可用2个触发器实现() 6.移位寄存器除了可以用来存入数码外,还可以利用它的移存规律在一定的范围内构成任意模值n的计数器。所以又称为移存型计数器() 7.判断时序逻辑电路能否自启动可通过判断该电路是否存在有效循环来实现() 8.施密特触发器电路具有两个稳态,而多谐振荡器电路没有稳态() 9.DRAM需要定期刷新,因此,在微型计算机中不如SRAM应用广泛() 三.计算题(8分) 1、在如图所示电路中,U cc=5V,U BB=9V,R1=5.1kΩ,R2=15kΩ,R c=1kΩ,β=40,请计算U I 分别为5V,0.3V时输出U O的大小?。

相关主题
文本预览
相关文档 最新文档