当前位置:文档之家› TCL用法详述

TCL用法详述

TCL用法详述
TCL用法详述

T C L用法祥述

一TCL语法

1 脚本、命令和单词符号

一个TCL脚本可以包含一个或多个命令。命令之间必须用换行符或分号隔开,下面的两个脚本都是合法的:

set a 1

set b 2

set a 1;set b 2

TCL的每一个命令包含一个或几个单词,第一个单词代表命令名,另外的单词则是这个命令的参数,单词之间必须用空格或TAB键隔开。

TCL解释器对一个命令的求值过程分为两部分:分析和执行。在分析阶段,TCL 解释器运用规则把命令分成一个个独立的单词,同时进行必要的置换(substitution);在执行阶段,TCL 解释器会把第一个单词当作命令名,并查看这个命令是否有定义,如果有定义就激活这个命令对应的C/C++过程,并把所有的单词作为参数传递给该命令过程,让命令过程进行处理。

2 置换(substitution)

注:在下面的所有章节的例子中,'%'为TCL的命令提示符,输入命令回车后,TCL会在接着的一行输出命令执行结果。'//'后面是我自己加上的说明,不是例子的一部分。

TCL解释器在分析命令时,把所有的命令参数都当作字符串看待,例如:

%set x 10 //定义变量x,并把x的值赋为10

10

%set y x+100 //y的值是x+100,而不是我们期望的110

x+100

上例的第二个命令中,x被看作字符串x+100的一部分,如果我们想使用x的值'10' ,就必须告诉TCL解释器:我们在这里期望的是变量x的值,而非字符'x'。怎么告诉TCL解释器呢,这就要用到TCL语言中提供的置换功能。

TCL提供三种形式的置换:变量置换、命令置换和反斜杠置换。每种置换都会导致一个或多个单词本身被其他的值所代替。置换可以发生在包括命令名在内的每一个单词中,而且置换可以嵌套。

1) 变量置换(variable subtitution)

变量置换由一个$符号标记,变量置换会导致变量的值插入一个单词中。例如:

%set y $x+100 //y的值是10+100,这里x被置换成它的值10

10+100

这时,y的值还不是我们想要的值110,而是10+100,因为TCL解释器把10+100看成是一个字符串而不是表达式,y要想得到值110,还必须用命令置换,使得TCL会把10+100看成一个表达式并求值。

2) 命令置换(command substitution)

命令置换是由[]括起来的TCL命令及其参数,命令置换会导致某一个命令的所有或部分单词被另一个命令的结果所代替。例如:

%set y [expr $x+100]

110

y的值是110,这里当TCL解释器遇到字符'['时,它就会把随后的expr作为一个命令名,从而激活与expr对应的C/C++过程,并把'expr'和变量置换后得到的'10+110'传递给该命令过程进行处理。

如果在上例中我们去掉[],那么TCL会报错。因为在正常情况下,TCL解释器只把命令行中的第一个单词作为看作命令,其他的单词都作为普通字符串处理,看作是命令的参数。

注意,[]中必须是一个合法的TCL脚本,长度不限。[]中脚本的值为最后一个命令的返回值,例如:

%set y [expr $x+100;set b 300] //y的值为300,因为set b 300的返回值为300 300

有了命令置换,实际上就表示命令之间是可以嵌套的,即一个命令的结果可以作为别的命令的参数。

3) 反斜杠置换(backslash substitution)

TCL语言中的反斜杠置换类似于C语言中反斜杠的用法,主要用于在单词符号中插入诸如换行符、空格、[、$等被TCL解释器当作特殊符号对待的字符。例如:

set m sg multiple\ space //m sg的值为multiple space。

如果没有'\'的话,TCL会报错,因为解释器会把这里最后两个单词之间的空格认为是分隔符,于是发现set命令有多于两个参数,从而报错。加入了'\'后,空格不被当作分隔符,'multiple space'被认为是一个单词(word)。又例如:

%set m sg money\ \$3333\ \nArray\ a\[2]

//这个命令的执行结果为:money $3333

Array a[2]

这里的$不再被当作变量置换符。

TCL支持以下的反斜杠置换:

Backslash Sequence Replaced By

\a Audible alert (0x7)

\b Backspace (0x8)

\f Form feed (0xc)

\n Newline (0xa)

\r Carriage return (0xd)

\t Tab (0x9)

\v Vertical tab (0xb)

\ddd Octal value given by ddd

(one, two, or three d's)

\xhh Hex value given by hh

(any number of h's)

\ newline space A single space character.

例如:

%set a \x48 //对应\xhh

H //十六进制的48正好是72,对应H

%set a \110 //对应\ddd

H //八进制的110正好是72,对应H

%set a [expr \ // 对应\newline space,一个命令可以用\newline转到下一行继续

2+3]

5

4) 双引号和花括号

除了使用反斜杠外,TCL提供另外两种方法来使得解释器把分隔符和置换符等特殊字符当作普通字符,而不作特殊处理,这就要使用双引号和花括号({})。

TCL解释器对双引号中的各种分隔符将不作处理,但是对换行符及$和[]两种置换符会照常处理。例如:

%set x 100

100

%set y "$x ddd"

100 ddd

而在花括号中,所有特殊字符都将成为普通字符,失去其特殊意义,TCL解释器不会对其作特殊处理。

%set y {/n$x [expr 10+100]}

/n$x [expr 10+100]

3 注释

TCL中的注释符是'#','#'和直到所在行结尾的所有字符都被TCL看作注释,TCL解释器对注释将不作任何处理。不过,要注意的是,'#'必须出现在TCL解释器期望命令的第一个字符出现的地方,才被当作注释。

例如:

%#This is a comment

%set a 100 # Not a comment

wrong # args: should be "set varName ?newValue?"

%set b 101 ; # this is a comment

101

第二行中'#'就不被当作注释符,因为它出现在命令的中间,TCL解释器把它和后面的字符当作命令的参数处理,从而导致错误。而第四行的'#'就被作为注释,因为前一个命令已经用一个分号结束,TCL解释器期望下一个命令接着出现。现在在这个位置出现'#',随后的字符就被当作注释了。

二变量

1 简单变量

一个TCL的简单变量包含两个部分:名字和值。名字和值都可以是任意字符串。例如一个名为“1323 7&*: hdgg"的变量在TCL中都是合法的。不过为了更好的使用置换(substitution),变量名最好按C\C++语言中标识符的命名规则命名。TCL解释器在分析一个变量置换时,只把从$符号往后直到第一个不是字母、数字或下划线的字符之间的单词符号作为要被置换的变量的名字。例如:

% set a 2

2

set a.1 4

4

% set b $a.1

2.1

在最后一个命令行,我们希望把变量a.1的值付给b,但是TCL解释器在分析时只把$符号之后直到第一个不是字母、数字或下划线的字符(这里是'.')之间的单词符号(这里是'a')当作要被置换的变量的名字,所以TCL解释器把a置换成2,然后把字符串“2.1”付给变量b。这显然与我们的初衷不同。

当然,如果变量名中有不是字母、数字或下划线的字符,又要用置换,可以用花括号把变量名括起来。例如:

%set b ${a.1}

4

TCL中的set命令能生成一个变量、也能读取或改变一个变量的值。例如:

%set a {kdfj kjdf}

kdfj kjdf

如果变量a还没有定义,这个命令将生成变量a,并将其值置为kdfj kjdf,若a已定义,就简单的把a的值置为kdfj kjdf。

%set a

kdfj kjdf

这个只有一个参数的set命令读取a的当前值kdfj kjdf。

2 数组

数组是一些元素的集合。TCL的数组和普通计算机语言中的数组有很大的区别。在TCL中,不能单独声明一个数组,数组只能和数组元素一起声明。数组中,数组元素的名字包含两部分:数组名和数组中元素的名字,TCL中数组元素的名字(下标〕可以为任何字符串。例如:

set day(m onday) 1

set day(tuesday) 2

第一个命令生成一个名为day的数组,同时在数组中生成一个名为monday的数组元素,并把值置为1,第二个命令生成一个名为tuesday的数组元素,并把值置为2。

简单变量的置换已经在前一节讨论过,这里讲一下数组元素的置换。除了有括号之外,数组元素的置换和简单变量类似。例:

set a m onday

set day(m onday) 1

set b $day(m onday) //b的值为1,即day(monday)的值。

set c $day($a) //c的值为1,即day(monday)的值。

TCL不能支持复杂的数据类型,这是一个很大的缺憾,也是TCL受指责很多的方面。但是TCL 的一个扩展ITCL填补了这个缺憾。

3 相关命令

set

这个命令在3.1已有详细介绍。

■unset

这个命令从解释器中删除变量,它后面可以有任意多个参数,每个参数是一个变量名,可以是简单变量,也可以是数组或数组元素。例如:

% unset a b day(m onday)

上面的语句中删除了变量a、b和数组元素day(monday),但是数组day并没有删除,其他元素还存在,要删除整个数组,只需给出数组的名字。例如:

%puts $day(monday)

can't read "day(monday)": no such element in array

% puts $day(tuesday)

2

%unset day

% puts $day(tuesday)

can't read "day(tuesday)": no such variable

■append和incr

这两个命令提供了改变变量的值的简单手段。

append命令把文本加到一个变量的后面,例如:

% set txt hello

hello

% append txt "! How are you"

hello! How are you

incr命令把一个变量值加上一个整数。incr要求变量原来的值和新加的值都必须是整数。

%set b a

a

% incr b

expected integer but got "a"

%set b 2

2

%incr b 3

5

三表达式

1 操作数

TCL表达式的操作数通常是整数或实数。整数一般是十进制的,但如果整数的第一个字符是

0(zero),那么TCL将把这个整数看作八进制的,如果前两个字符是0x则这个整数被看作是十六进制的。TCL的实数的写法与ANSI C中完全一样。如:

2.1

7.9e+12

6e4

3.

2 运算符和优先级

下面的表格中列出了TCL中用到的运算符,它们的语法形式和用法跟ANSI C中很相似。这里就不一一介绍。下表中的运算符是按优先级从高到低往下排列的。同一格中的运算符优先级相同。

语法形式结果操作数类型

-a !a ~a 负a

非a

int,float

int,float

int

a*b a/b a%b 乘

取模

int,float

int,float

int

a+b a-b 加

int,float

int,float

a<>b 左移位

右移位

int

int

ab a<=b a>=b 小于

大于

小于等于

大于等于

int,float,string

int,float,string

int,float,string

int,float,string

a= =b a!=b 等于

不等于

int,float,string

int,float,string

a&b位操作与int

a^b位操作异或int

a|b位操作或int

a&&b逻辑与int,float

a||b逻辑或int,float

a?b:c选择运算a:int,float

3 数学函数

TCL支持常用的数学函数,表达式中数学函数的写法类似于C\C++语言的写法,数学函数的参数可以是任意表达式,多个参数之间用逗号隔开。例如:

%set x 2

2

% expr 2* sin($x<3)

1.68294196962

其中expr是TCL的一个命令,语法为:expr arg ?arg ...?

两个?之间的参数表示可省,后面介绍命令时对于可省参数都使用这种表示形式。expr可以有一个或多个参数,它把所有的参数组合到一起,作为一个表达式,然后求值:

%expr 1+2*3

7

%expr 1 +2 *3

7

需要注意的一点是,数学函数并不是命令,只在表达式中出现才有意义。

TCL中支持的数学函数如下

abs( x) Absolute value of x.

acos( x) Arc cosine of x, in the range 0 to p.

asin( x) Arc sine of x, in the range -p/2 to p/2.

atan( x) Arc tangent of x, in the range -p/2 to p/2.

atan2( x, y) Arc tangent of x/ y, in the range -p/2 to p/2.

ceil( x) Smallest integer not less than x.

cos( x) Cosine of x ( x in radians).

cosh( x) Hyperbolic cosine of x.

double( i) Real value equal to integer i.

exp( x) e raised to the power x.

floor( x) Largest integer not greater than x.

fm od( x, y) Floating-point rem ainder of x divided by y.

hypot( x, y) Square root of ( x 2 + y 2 ).

int( x) Integer value produced by truncating x.

log( x) Natural logarithm of x.

log10( x) Base 10 logarithm of x.

pow( x, y) x raised to the power y.

round( x) Integer value produced by rounding x.

sin( x) Sine of x ( x in radians).

sinh( x) Hyperbolic sine of x.

sqrt( x) Square root of x.

tan( x) Tangent of x ( x in radians).

tanh( x) Hyperbolic tangent of x.

TCL中有很多命令都以表达式作为参数。最典型的是expr命令,另外if、while、for等循环控制命令的循环控制中也都使用表达式作为参数。

四list

1 List命令

list这个概念在TCL中是用来表示集合的。TCL中list是由一堆元素组成的有序集合,list可以嵌套定义,list每个元素可以是任意字符串,也可以是list。下面都是TCL中的合法的list:

{} //空list

{a b c d}

{a {b c} d} //list可以嵌套

list是TCL中比较重要的一种数据结构,对于编写复杂的脚本有很大的帮助,TCL提供了很多基本命令对list进行操作,下面一一介绍:

语法:list ? value value...?

这个命令生成一个list,list的元素就是所有的value。例:

% list 1 2 {3 4}

1 2 {3 4}

2 concat命令

语法:concat list ?list...?

这个命令把多个list合成一个list,每个list变成新list的一个元素。

3 lindex命令

语法:lindex list index

返回list的第index个(0-based)元素。例:

% lindex {1 2 {3 4}} 2

3 4

4 llength命令

语法:llength list

返回list的元素个数。例

% llength {1 2 {3 4}}

3

5 linsert命令

语法:linsert list index value ?value...?

返回一个新串,新串是把所有的value参数值插入list的第index个(0-based)元素之前得到。例:

% linsert {1 2 {3 4}} 1 7 8 {9 10}

1 7 8 {9 10}

2 {

3 4}

6 lreplace命令

语法:lreplace list first last ?value value ...?

返回一个新串,新串是把list的第firs (0-based)t到第last 个(0-based)元素用所有的value 参数替换得到的。如果没有value参数,就表示删除第first到第last个元素。例:

% lreplace {1 7 8 {9 10} 2 {3 4}} 3 3

1 7 8

2 {

3 4}

% lreplace {1 7 8 2 {3 4}} 4 4 4 5 6

1 7 8

2 4 5 6

7 lrange命令

语法:lrange list first last

返回list的第first (0-based)到第last (0-based)元素组成的串,如果last的值是end。就是从第first个直到串的最后。

例:

% lrange {1 7 8 2 4 5 6} 3 end

2 4 5 6

8 lappend命令

语法:lappend varname value ?value...?

把每个value的值作为一个元素附加到变量varname后面,并返回变量的新值,如果varname 不存在,就生成这个变量。例:

% lappend a 1 2 3

1 2 3

% set a

1 2 3

9 lsearch命令

语法:lsearch ?-exact? ?-glob? ?-regexp? list pattern

返回list中第一个匹配模式pattern的元素的索引,如果找不到匹配就返回-1。-exact、-glob、-regexp是三种模式匹配的技术。-exact表示精确匹配;-glob的匹配方式和string m atch 命令的匹配方式相同,将在后面第八节介绍string命令时介绍;-regexp表示正规表达式匹配,将在第八节介绍regexp命令时介绍。缺省时使用-glob匹配。例:

% set a { how are you }

how are you

% lsearch $a y*

2

% lsearch $a y?

-1

10 lsort命令

语法:lsort ?options? list

这个命令返回把list排序后的串。options可以是如下值:

-ascii 按ASCII字符的顺序排序比较.这是缺省情况。

-dictionary 按字典排序,与-ascii不同的地方是:

(1)不考虑大小写

(2)如果元素中有数字的话,数字被当作整数来排序.

因此:bigBoy排在bigbang和bigboy之间, x10y 排在x9y和x11y之间.

-integer 把list的元素转换成整数,按整数排序.

-real 把list的元素转换成浮点数,按浮点数排序.

-increasing 升序(按ASCII字符比较)

-decreasing 降序(按ASCII字符比较)

-command command TCL自动利用command 命令把每两个元素一一比较,然后给出排序结果。

11 split命令

语法:split string ?splitChars?

把字符串string按分隔符splitChars分成一个个单词,返回由这些单词组成的串。如果splitChars

是一个空字符{},string被按字符分开。如果splitChars没有给出,以空格为分隔符。例:

% split "how.are.you" .

how are you

% split "how are you"

how are you

% split "how are you" {}

h o w { } a r e { } y o u

12 join命令

语法:join list ?joinString?

join命令是命令的逆。这个命令把list的所有元素合并到一个字符串中,中间以joinString分开。缺省的joinString是空格。例:

% join {h o w { } a r e { } y o u} {}

how are you

% join {how are you} .

how.are.you

五控制流

1 if命令

TCL中的控制流和C语言类似,包括if、while、for、foreach、switch、break、continue 等命令。

语法:if test1 body1 ?elseif test2 body2 elseif.... ? ?else bodyn?

TCL先把test1当作一个表达式求值,如果值非0,则把body1当作一个脚本执行并返回所得值,否则把test2当作一个表达式求值,如果值非0,则把body2当作一个脚本执行并返回所得值……。例如:

if { $x>0 } {

.....

}elseif{ $x==1 } {

.....

}elseif { $x==2 } {

....

}else{

.....

}

注意,上例中'{'一定要写在上一行,因为如果不这样,TCL 解释器会认为if命令在换行符处已结束,下一行会被当成新的命令,从而导致错误的结果。在下面的循环命令的书写中也要注意这个问题。书写中还要注意的一个问题是if 和{之间应该有一个空格,否则TCL解释器会把'if{'作为一个整体当作一个命令名,从而导致错误。

2 循环命令

循环命令包括while、for、foreach等。

■while命令

语法为: while test body

参数test是一个表达式,body是一个脚本,如果表达式的值非0,就运行脚本,直到表达式为0才停止循环,此时while命令中断并返回一个空字符串。

例如:

假设变量 a 是一个链表,下面的脚本把a 的值复制到b:

set b " "

set i [expr [llength $a] -1]

while { $i>=0}{

lappend b [lindex $a $i]

incr i -1

}

■for命令

语法为: for init test reinit body

参数init是一个初始化脚本,第二个参数test是一个表达式,用来决定循环什么时候中断,第三个参数reinit是一个重新初始化的脚本,第四个参数body也是脚本,代表循环体。下例与上例作用相同:

set b " "

for {set i [expr [llength $a] -1]} {$i>=0} {incr i -1} {

lappend b [lindex $a $i] }

■foreach命令

这个命令有两种语法形式

1)、foreach varName list body

第一个参数varName是一个变量,第二个参数list 是一个表(有序集合),第三个参数body 是循环体。每次取得链表的一个元素,都会执行循环体一次。下例与上例作用相同:

set b " "

foreach i $a{

set b [linsert $b 0 $i]

}

2)、foreach varlist1 list1 ?varlist2 list2 ...? Body

这种形式包含了第一种形式。第一个参数varlist1是一个循环变量列表,第二个参数是一个列表list1,varlist1中的变量会分别取list1中的值。body参数是循环体。?varlist2 list2 ...?表示可以有多个变量列表和列表对出现。例如:

set x {}

foreach {i j} {a b c d e f} {

lappend x $j $i

}

这时总共有三次循环,x的值为"b a d c f e"。

set x {}

foreach i {a b c} j {d e f g} {

lappend x $i $j

}

这时总共有四次循环,x的值为"a d b e c f {} g"。

set x {}

foreach i {a b c} {j k} {d e f g} {

lappend x $i $j $k

}

这时总共有三次循环,x的值为"a d e b f g c {} {}"。

break和continue命令

在循环体中,可以用break和continue命令中断循环。其中break命令结束整个循环过程,并从循环中跳出,continue只是结束本次循环。

■switch 命令

和C语言中switch语句一样,TCL中的switch命令也可以由if命令实现。只是书写起来较为烦琐。switch命令的语法为: switch ? options? string { pattern body ? pattern body ...?}

第一个是可选参数options,表示进行匹配的方式。TCL支持三种匹配方式:-exact方式,-glob 方式,-regexp方式,缺省情况表示-glob方式。-exact方式表示的是精确匹配,-glob方式的匹配方式和string match 命令的匹配方式相同(第八节介绍),-regexp方式是正规表达式的匹配方式(第八节介绍)。第二个参数string 是要被用来作测试的值,第三个参数是括起来的一个或多个元素对,例:

switch $x {

a -

b {incr t1}

c {incr t2}

default {incr t3}

}

其中a的后面跟一个'-'表示使用和下一个模式相同的脚本。default表示匹配任意值。一旦switch命令找到一个模式匹配,就执行相应的脚本,并返回脚本的值,作为switch命令的返回值。

3 eval命令

eval命令是一个用来构造和执行TCL脚本的命令,其语法为:

eval arg ?arg ...?

它可以接收一个或多个参数,然后把所有的参数以空格隔开组合到一起成为一个脚本,然后对这个脚本进行求值。例如:

%eval set a 2 ;set b 4

4

4 source命令

source命令读一个文件并把这个文件的内容作为一个脚本进行求值。例如:

source e:/tcl&c/hello.tcl

注意路径的描述应该和UNIX相同,使用'/'而不是'\'。

六过程(procedure)

1过程定义和返回值

TCL支持过程的定义和调用,在TCL中,过程可以看作是用TCL脚本实现的命令,效果与TCL 的固有命令相似。我们可以在任何时候使用proc命令定义自己的过程,TCL中的过程类似于C 中的函数。

TCL中过程是由proc命令产生的:

例如:

% proc add {x y } {expr $x+$y}

proc命令的第一个参数是你要定义的过程的名字,第二个参数是过程的参数列表,参数之间用空格隔开,第三个参数是一个TCL脚本,代表过程体。proc生成一个新的命令,可以象固有命令一样调用:

% add 1 2

3

在定义过程时,你可以利用return命令在任何地方返回你想要的值。return命令迅速中断过程,并把它的参数作为过程的结果。例如:

% proc abs {x} {

if {$x >= 0} { return $x }

return [expr -$x]

}

过程的返回值是过程体中最后执行的那条命令的返回值。

2 局部变量和全局变量

对于在过程中定义的变量,因为它们只能在过程中被访问,并且当过程退出时会被自动删除,所以称为局部变量;在所有过程之外定义的变量我们称之为全局变量。TCL中,局部变量和全局变量可以同名,两者的作用域的交集为空:局部变量的作用域是它所在的过程的内部;全局变量的作用域则不包括所有过程的内部。这一点和C语言有很大的不同.

如果我们想在过程内部引用一个全局变量的值,可以使用global命令。例如:

% set a 4

4

% proc sam ple { x } {

global a

incr a

return [expr $a+$x]

}

% sample 3

8

%set a

5

全局变量a在过程中被访问。在过程中对a的改变会直接反映到全局上。如果去掉语句global a,TCL会出错,因为它不认识变量a。

3 缺省参数和可变个数参数

TCL还提供三种特殊的参数形式:

首先,你可以定义一个没有参数的过程,例如:

proc add {} { expr 2+3}

其次,可以定义具有缺省参数值的过程,我们可以为过程的部分或全部参数提供缺省值,如果调用过程时未提供那些参数的值,那么过程会自动使用缺省值赋给相应的参数。和C\C++中具有缺省参数值的函数一样,有缺省值的参数只能位于参数列表的后部,即在第一个具有缺省值的参数后面的所有参数,都只能是具有缺省值的参数。

例如:

proc add {val1 {val2 2} {val3 3}}{

expr $val1+$val2+$val3

}

则:

add 1 //值为6

add 2 20 //值为25

add 4 5 6 //值为15

另外,TCL的过程定义还支持可变个数的参数,如果过程的最后一个参数是args, 那么就表示这个过程支持可变个数的参数调用。调用时,位于args以前的参数象普通参数一样处理,但任何附加的参数都需要在过程体中作特殊处理,过程的局部变量args将会被设置为一个列表,其元素就是所有附加的变量。如果没有附加的变量,args就设置成一个空串,下面是一个例子:

proc add { val1 args } {

set sum $val1

foreach i $args {

incr sum $i

}

return $sum

}

则:

add 2 //值为2

add 2 3 4 5 6 //值为20

4 引用:upvar

命令语法:upvar ?level? otherVar myVar ?otherVar m yVar ...?

upvar命令使得用户可以在过程中对全局变量或其他过程中的局部变量进行访问。upvar命令的第一个参数otherVar是我们希望以引用方式访问的参数的名字,第二个参数m yVar 是这个过程中的局部变量的名字,一旦使用了upvar 命令把otherVar 和myVar 绑定,那么在过程中对局部变量myVar 的读写就相当于对这个过程的调用者中otherVar 所代表的局部变量的读写。下面是一个例子:

% proc tem p { arg } {

upvar $arg b

set b [expr $b+2]

}

% proc m yexp { var } {

set a 4

tem p a

return [expr $var+$a]

}

则:

% myexp 7

13

这个例子中,upvar 把$arg(实际上是过程myexp中的变量a)和过程temp中的变量b绑定,对b的读写就相当于对a的读写。

upvar命令语法中的level参数表示:调用upvar命令的过程相对于我们希望引用的变量myVar在调用栈中相对位置。例如:

upvar 2 other x

这个命令使得当前过程的调用者的调用者中的变量other,可以在当前过程中利用x访问。缺省情况下,level的值为1,即当前过程(上例中的tem p)的调用者(上例中的myexp)中的变量(上例中myexp的a)可以在当前过程中利用局部变量(上例中temp的b)访问。

如果要访问全局变量可以这样写:

upvar #0 other x

那么,不管当前过程处于调用栈中的什么位置,都可以在当前过程中利用x访问全局变量other。

七字符串操作

1 format命令

因为TCL把所有的输入都当作字符串看待,所以TCL提供了较强的字符串操作功能,TCL中与字符串操作有关的命令有:string、format、regexp、regsub、scan等。

format命令

语法:format formatstring ?vlue value...?

form at命令类似于ANSIC中的sprintf函数和MFC中CString类提供的Format成员函数。它按formatstring提供的格式,把各个value的值组合到formatstring中形成一个新字符串,并返回。例如:

%set name john

John

%set age 20

20

%set m sg [format "%s is %d years old" $name $age]

john is 20 years old

2 scan命令

语法:scan string format varName ?varNam e ...?

scan命令可以认为是format命令的逆,其功能类似于ANSI C中的sscanf函数。它按format 提供的格式分析string字符串,然后把结果存到变量varName中,注意除了空格和TAB键之外,string 和format中的字符和'%'必须匹配。例如:

% scan "some 26 34" "some %d %d" a b

2

% set a

26

% set b

34

XML基础教程试题一及答案

XML基础教程试题一及答案 一、判断题(每题2分,共10分) ( )1.最早的计算机标记语言是IBM公司研究员发明的通用标记语言(GML)。( ) 2.一个有效的XML文档肯定是格式良好的文档。 ( ) 3.在一个XML文档中,元素就是整个XML文档的骨架,因此在一个XML 文档里可以有多个根元素和子元素。 ( )4.XML文档里的元素可以嵌套,因此XML文档里的注释也能嵌套。( )5.空元素指的是元素没有内容,但可以有属性。 二、选择题(每题2分,共20分) 下列各题A、B、C、D四个选项中,只有一个选项是正确的,请将正确的选项涂写在答题卡相应位置上,答在试卷上不得分。 1.什么是XML? ( ) A. 一种标准泛用标记语言 B. 一种扩展性标识语言 C. 一种超文本标记语言 D.一种层叠样式表单是.NET托管程序的执行引擎 2.下面哪个不是HTML的优点( ) A. 跨平台.. B. 强大的信息展示能力 C. 标记可自定 D.编写简单C 3.下面哪一个标记语言产生的时间最早。( ) A.XML B.SGML C.HTML D.CML 4.XPath是什么?( ) A.XML的路径语言 B.XML的转化 C. 文档对象模型 D.XML命名空间 5.这行XML声明,声明该文档采用了什么编码标准? ( ) A. GB2312 B. ANSI C. Unicode UTF-8 D. Windows-1252 6.何谓DTD?( ) A.文档架构定义,用来验证XML B.文档类型定义,用来验证XML

C.XML文档的数据部分 D.XML文档的片断 7.何谓DOM?( ) A.XML文档 B.XML文档对象模型 C.XML模型语言 D.XML路径语言 8.采用MSXML解析器创建一个元素类型的节点,应该使用Document接口的哪个方法:( ) A.createAttribute() B.createElement() C.createCDATASection() D.getElementByTagName() 9.一个XML文档以声明开始,在声明中不可使用的属性为:( ) A.是否引用外部文件B.文件大小C.字符编码D.版本 10.关于DOM的描述错误的是( )。 A.DOM使开发者能够以编程方式读取、操作和修改XML文档 B.既能使用JavaScript进行DOM开发,而不能使用Java、C# C.W3C组织公布了DOM模型的规范,然后各个软件厂商(比如微软)再根据W3C的规范开发DOM解析器,并且提供一系列的编程API,这些API都是遵守W3C规范的 D.DOM模型是W3C组织开发出来的一个在内存中表示XML数据的线性模型 三、简答题(每题6分,共30分) 1.XML是什么,它与HTML的区别? 2.什么是DOM? 3.简述解释XSLT? 4.XML文档有哪些部分组成? 5.什么是XML文档中的元素,什么是元素的属性,请举例说明。 四、设计题(每题20分,共40分) 1. XML文档结构:

Tcl语言基础教程

Tcl语言参考 Tcl("Tool Command Language",即工具命令语言;Tcl念作“踢叩” "tickle" )是一种易学易用易于扩展的脚本语言,实际上包 含了两个部分:一个语言和一个库。 首先,Tcl是一种简单的脚本语言,主要使用于发布命令给一些交互程序如文本编辑器、调试器和shell。它有一个简单 的语法和很强可扩充性,Tcl可以创建新的过程以增强其内建命令的能力。 其次,Tcl是一个库包,可以被嵌入应用程序,Tcl的库包含了一个分析器、用于执行内建命令的例程和可以使你扩充 (定义新的过程)的库函数。应用程序可以产生Tcl命令并执行,命令可以由用户产生,也可以从用户接口的一个输入中读 取(按钮或菜单等)。 Tcl和其他编程语言例如C不同,它是一种解释语言而非编译语言。Tcl程序由一系列Tcl 命令组成,在运行时由Tcl解释 器解释运行。 Tcl有大量的用C/C++编写的扩展用于提供Tcl本身不具有的功能。其中使用最广泛的扩展是TK,TK提供了各种OS平台下 的图形用户界面GUI(连强大的Python语言都不单独提供自己的GUI,而是提供接口适配到TK上)。另一个流行的扩展包是Exp- ect,它提供了通过终端自动执行命令的能力,例如passwd, ftp, telnet等命令驱动的外壳。

一、Tcl程序基本结构 1、基本语法 Tcl有类似于shell的语法,一条Tcl的命令串包含了一条或多条命令用换行符或分号来隔开,而每一条命令包含了一个 域(field)的集合,域使用空白(空格或TAB)分开的,第一个域是一个命令的名字,其它的是作为参数来传给它。 Tcl解释器对一个命令的求值过程分为两部分:分析和执行。在分析阶段,Tcl 解释器运用规则识别出命令并把命令分 成一个个独立的单词,同时进行必要的置换(substitution);在执行阶段,Tcl 解释器会把第一个单词当作命令名,并查看这 个命令是否有定义,如果有定义就激活这个命令对应的C/C++过程,并把所有的单词作为参数传递给该命令过程,让命令过 程进行处理。 Tcl的命令名可以是内建的命令也可以是用户建的新命令,在应用程序中可用函数Tcl_CreateCommand来创建新的命令。 所有的参数作为字符串来传递,命令自己会按其所需来解释的参数的。 另外关于Tcl的注释有一个特殊的要求:'#'必须出现在Tcl解释器期望命令的第一个字符出现的地方,才被当作注释。 例如: set a 100 # Not a comment

TCL脚本实例解读

TCL脚本实例解读 作者:杨帆、老卢 前言 Sigma的这段日子在技术方面感觉提高的方面可能就是脚本的编写吧,我感觉写一个可用的脚本,并不一定非的在于你对脚本有了多了解之后,然后再去实现一个切合实际的脚本,最主要是思路,当你对所需要使用的脚本工具有一定的理解(如:TCL),在一个实际环境,首先能有个明确的想法,想实现个具体的什么东西,怎么样的思路可以实现,大脑里具备了这些条件,就可以尝试去写一些脚本。当然了,在实现的过程中肯定会遇到这样或者那样的问题,但一般来说,基本都是一些对脚本语法以及命令不熟悉的原因造成,这些问题很好解决,可以跟熟悉脚本的同事讨论,来帮你解决当时的问题,所以,千万不要因为还没有开始,就将脚本看得非常困难,导致自己一直无法迈出第一步,其实有些东西还是比较容易实现的。所以在此将我写的几个脚本在此解读解读。 文档目的 这篇文档中所附带的脚本,主要是根据Sigma这边搭建的系统测试环境而撰写出来的,脚本内容可能与今后Sigma公司为我们所开发的系统测试脚本无关,当撰写完这几个脚本之后,各人感觉有些东西还是比较有价值的,因此本人将此脚本撰写为文档,将这些东西共享出来供大家分享、借鉴、参考,相信看完这篇文档,因该会提供很多切合实际测试的一些脚本开发思路。还有,这篇文档并非一篇解释TCL命令的文档,有许多脚本中的相关命令不熟悉的地方需要查找其它资料,部分命令只做了解释或者介绍。 感谢!:) 这些脚本的撰写过程中感谢小康同学与小井同学的帮助(很多地方错误的查找、以及提供了一些解决关键问题的命令,如果没有他们的帮助,这个脚本完成的难度就大了,有很多也是他们的功劳) 环境介绍 这里提到的环境主要介绍的是系统测试的物理网络TOP环境与逻辑网络TOP环境,因为脚本里面的内容跟这个具体环境有关: 物理网络TOP,物理网络TOP所描述的实际是所有测试PC与DUT连接的物理

TCL语言及其文件的认识、理解和编辑

TCL语言及其文件的认识、理解和编辑 欢迎大家指正和补充。 Tcl语法简介 Tcl是用于工具命令语言的一个解释器。它由作为基本命令解释器嵌入到工具(比如编辑器、调试器等)中的一个库包组成。Tcl提供了(a)用于简单的文本命令语言的分析器,(b)一组内置的实用命令,和(c)一个C 接口,工具通过它用特定于工具的命令增加内置命令。Tcl在与窗口组件库整合的时候特别有吸引力:它通过了提供变量、过程、表达式等机制增进了组件的可编程性;它允许用户编程组件的外观和动作二者;并且它在交互式程序之间提供一个简单但强力的通信机制 作为一种脚本语言,Tcl具有简单的语法 Tcl/Tk 的发明人John Ousterhout 教授在八十年代初,是伯克利大学的教授。在其教学过程中,他发现在集成电路CAD 设计中,很多时间是花在编程建立测试环境上。并且,环境一旦发生了变化,就要重新修改代码以适应。这种费力而又低效的方法,迫使Ousterhout 教授力图寻找一种新的编程语言,它即要有好的代码可重用性,又要简单易学,这样就促成了Tcl (Tool Command Language) 语言的产生。 Tcl最初的构想的是希望把编程按照基于组件的方法(component approach),即与其为单个的应用程序编写成百上千行的程序代码,不如寻找一个种方法将程序分割成一个个小的, 具备一定“完整”功能的,可重复使用的组件。这些小的组件小到可以基本满足一些独立的应用程序的需求,其它部分可由这些小的组件功能基础上生成。不同的组件有不同的功能,用于不同的目的。并可为其它的应用程序所利用。当然, 这种语言还要有良好的扩展性, 以便用户为其增添新的功能模块。最后,需要用一种强的,灵活的“胶水”把这些组件“粘”合在一起, 使各个组件之间可互相“通信”,协同工作。程序设计有如拼图游戏一样,这种设计思想与后来的Java 不谋而合。终于在1988 年的春天, 这种强大灵活的胶水- Tcl语言被发明出来了。 按照Ousterhout 教授的定义,Tcl是一种可嵌入的命令脚本化语言(Command Script Language)。“可嵌入”是指把很多应用有效,无缝地集成在一起。“命令”是指每一条Tcl 语句都可以理解成命令加参数的形式: 命令[参数1] [参数2] [参数3] [参数4] ...... [参数N] 脚本化是指Tcl为特殊的,特定的任务所设计。但从现在角度看,可以说Tcl是一种集C 语言灵活强大的功能与BASIC 语言易学高效的风格于一身的通用程序设计语言。 Tk (Tool Kit) 是基于Tcl的图形程序开发工具箱, 是Tcl的重要扩展部分。Tk 隐含许多C/C++ 程序员需要了解的程序设计细节, 可快速地开发基于图形界面Windows 的程序。据称, 用Tcl/Tk 开发一个简单的GUI 应用程序只需几个小时, 比用C/C++ 要提高效率十倍。需要指明的是这里所说的“窗口”是指Tcl定义的窗口,与X-Windows 与MS Windows 的定义有所不同,但它可完美地运行在以上两个系统上。 Tcl代表了“tool command language - 工具命令语言”。它由一个库包组成,程序可以把它用作自己的命令语言的基础。Tcl的开发由两项观察所推动。第一项观察是,通用可编程命令语言通过允许用户用命令语言写程序来扩展工具的内置设施,从而扩大了工具的能力。在强力的命令语言之中最众所周知的例子是UNIX shell[5] 和Emacs 编辑器[8]。在各自情况下,出现的有着不同寻常能力的计算环境,在很大程度上是因为能获得可编程的命令语言。第二个促成它的观察是交互式应用正在增长。在1970 年代晚期和1980 年代早期的分时环境中,几乎所有的程序都是面向批处理的。典型的使用交互式的命令shell 来调用它们。

SpirentTestcenter自动化测试脚本TCL

Spirent Testcenter 自动化测试脚本TCL -1 基本功能 2015-06-18 浏览(69) #!/bin/sh # exec tclsh "$0" ${1+"$@"} #----------------------------------------------------- # # #----------------------------------------------------- #引用STC API ,的路径 source {C:Program FilesSpirent CommunicationsSpirent TestCenter TestCenter } #开启日志,-logTo :日志保存路径,使用stdout为标准输 出; -loglevel :可选DEBUG、INFO 、WARN 和ERROR 四种;stc::config automationoptions -logTo "" -logLevel DEBUG #配置机框Ip 槽位端口号 set chassisAddress "" set slotPort1 "8/25" set slotPort2 "8/26" #创建一个项目

set ProjectA [stc::create project] #在项目ProjextA 下创建一个发送端口和一个接收端口 set TxPort [stc::create port -under $ProjectA] set RxPort [stc::create port -under $ProjectA] set portReturn [stc::config $TxPort -location "//$chassisAddress/$slotPort1"] set portReturn [stc::config $RxPort -location "//$chassisAddress/$slotPort2"] #配置端口类型,根据实际端口类型选择参数:Ethernet10GigFiber、Ethernet100GigFiber、Ethernet40GigFiber、Ethernet10GigCopper、EthernetCopper等; set ethernetFiber(1) [stc::create "Ethernet10GigFiber" -under $TxPort -Name "ethernetFiber 1"] set ethernetFiber(2) [stc::create "Ethernet10GigFiber" -under $RxPort -Name "ethernetFiber 2"] #在发送端口下创建StreamBlock(1)

TCL脚本基本语法

TCL基本语法 1语言简介 TCL缩短工具命令语言的形式。由加州大学伯克利分校的约翰Ousterhout设计它。它是一种脚本语言,由其自身的解释器,它被嵌入到开发应用程序的组合。 TCL最初在Unix平台中。后来移植到Windows,DOS,OS/2和Mac OSX。TCL非常类似于其他UNIX的shell语言,类似Bourne Shell (Sh), the C Shell (csh), the Korn Shell (sh), 和Perl。 它的目的是提供程序与其他程序,也是作为一个可嵌入的翻译相互作用的能力。虽然原来的目的是为了使程序能够进行交互,可以找到由Tcl/Tk 编写的完全成熟的应用。 1.1TCL特性 TCL的特点如下: 1、减少开发时间。 2、功能强大和简单的用户界面工具包整合传统知识。 3、一次编写,随处运行。它可以运行在Windows,Mac OS X和几乎所有的Unix平台。 4、有经验的程序员很容易上手,因为语言就是这么简单,可以在几个小时或几天学习Tcl就可以搞定。 5、可以轻松地用Tcl扩展现有的应用程序。另外,也可以包括Tcl的用C,C++或Java 来扩展Tcl,或反之亦然。 6、有一组功能强大的网络功能。 7、最后,它的开源,免费的,可以用于其他无任何限制商业应用。 2基本语法 2.1命令结构 commandName空格arguments。每条命令之间通过换行或者;隔开。例如: #设置变量foo为0 set foo 0 set bar 1;puts $bar; #设置(set 为一个Tcl命令)变量bar为1,输出(puts也是一个Tcl命令)bar 2.2注释 使用#注释,不过要注意的是下面的注释是错误的: set foo 0 #设置变量foo为0,这种注释是错误的 因为tcl解析器总是认为一条命令应该以换行或者分号结束,其他的在同一行中都认为是参数。所以正确的应该是: set foo 0 #设置变量foo为0,这种注释是正确的 set foo 0; #设置变量foo为0,这种注释是正确的

DC脚本及解释

#script for Design Compiler# Language : TCL# Usage :# 1) make sure the lib in the current directory# 2) if you... #script for Design Compiler # Language : TCL # Usage : # 1) make sure the lib in the current directory # 2) if you have the file .synopsys_dc.setup, # set synopsys_dc_setup_file 1, # if not, set synopsys_dc_setup_file 0 # 3) change Step 3 : Variables to what you want # Especially : top module name, clock name, # reset name, all files name, and period # 4) typing dc_shell-t -f run_72.tcl | tee -i run.log # #===================================================== === set synopsys_dc_setup_file 0 #----------------------------------------------------- # Step 1 : # Setting Up path and library: # If you have edited the file .synopsys_dc.setup, then you can skip over this step #----------------------------------------------------- if { $synopsys_dc_setup_file == 0} { set search_path [list /home/chanshi/dc/library/smic /home/chanshi/dc/rfid/source /home/chanshi/dc/script] set target_library {typical.db} #set target_library {CSM35OS142_typ.db}; # if you want use typical library,change to typical.db #set link_library [list {*} ram_interp_typical_syn.db ram_458_typical_syn.db typical.db] set link_library [list {*} $target_library] } #set symbol_library {csm18ic.sdb csm18io.sdb} #set synthetic_library {dw_foundation.sldb}; # Design Ware set command_log_file "command.log" #----------------------------------------------------- # Step 2 : # Compile Swithes

tcl脚本进行二次开发

HyperMesh中利用Tcl脚本进行二次开发 杨国雄 上海世科嘉车辆技术有限公司

HyperMesh中利用Tcl脚本进行二次开发 Further Develop by Tcl Script Based on HyperMesh 杨国雄 (上海世科嘉车辆技术有限公司) 摘要:当代企业发展的关键是创新,本文通过对HyperMesh软件进来二次开发的两个实例—频响分析流程订制和通过Comps名自动定义单元属性,研讨了通过HyperMesh软件对企业CAE分析流程进行简化,标准化,人性化的可能性。 关键字:Tcl,二次开发,HyperMesh Abstract:Innovation is the key of contemporary enterprise's development. In this paper, 2 examples(customized frequency analysis process and automatic definition of element properties via comps name)were made by further developed of HyperMesh. The possibility of CAE analysis process be simplified, standardized and humanized with HyperMesh software was also discussed. Keyword:Tcl, Further Develop,HyperMesh 1 概述 随着各个行业对CAE技术应用的深入,企业对规范化分析流程,简化前处理等各个方面提出了需求。HyperMesh是一款功能强大的有限元前处理软件,同时还具有丰富的二次开发能力。通过二次开发为企业更好的利用HyperMesh软件提供了可能性。 2 HyperMesh二次开发简介 HyperMesh二次开发主要包括了2个层次的内容——宏命令和Tcl/Tk脚本。宏命令主要功能是定义面板按钮及对Tcl脚本的调用。Tcl/Tk脚本命令可以自定义各类窗口,程序的流程化控制,宏命令、Tcl脚本和C语言程序的关系如图1所示。本文主要讨论Tcl脚本的编写。

TCL语言.

TCL语言 Tcl(最早称为“工具命令语言”"Tool Command Language", 但是目前已经不是这个含义,不过我们仍然称呼它为TCL)是一种脚本语言。由John Ousterhout创建。TCL很好学,功能很强大。TCL经常被用于快速原型开发,脚本编程,GUI 和测试等方面。TCL念作“踢叩” "tickle". Tcl的特性包括: * 任何东西都是一条命令,包括语法结构(for, if等)。 * 任何事物都可以重新定义和重载。 * 所有的数据类型都可以看作字符串。 * 语法规则相当简单 * 提供事件驱动给Socket和文件。基于时间或者用户定义的事件也可以。 * 动态的域定义。 * 很容易用C, C++,或者Java扩展。 * 解释语言,代码能够动态的改变。 * 完全的Unicode支持。 * 平台无关。Win32, UNIX, Mac上都可以跑。 * 和Windows的GUI紧密集成。Tk * 代码紧凑,易于维护。 TCL本身不提供面向对象的支持。但是语言本身很容易扩展到支持面向对象。许多C语言扩展都提供面向对象能力,包括XOTcl, Incr Tcl 等。另外SNIT扩展本身就是用TCL写的。 使用最广泛的TCL扩展是TK。TK提供了各种OS平台下的图形用户界面GUI。连强大的Python语言都不单独提供自己的GUI,而是提供接口适配到TK上。另一个流行的扩展包是Expect. Expect提供了通过终端自动执行命令的能力,例如(pass wd, ftp, telnet等命令驱动的外壳). 下面是TCL程序的例子: #!/bin/sh # next line restarts using tclsh in path \ exec tclsh ${1+"$@"} # echo server that can handle multiple # simultaneous connections. proc newConnection { sock addr port } { # client connections will be handled in # line-buffered, non-blocking mode fconfigure $sock -blocking no -buffering line # call handleData when socket is readable fileevent $sock readable [ list handleData $sock ] } proc handleData {

驱动课程资料gec6818开发板-刷机教程v1.1-new

GEC6818开发板刷机教程 作者日期版本更新内容粤嵌2017.02.01V1.0初始版本

版权声明 本文档归属广州粤嵌通信科技股份有限公司(以下简称“粤嵌”)所有,并保留一切权利,非经粤嵌同意(书面形式),任何单位及个人不得擅自摘录本文档部分或者全部内容,违者将追究其法律责任。

目录 版权声明 (1) 目录 (2) 第一章前言 (3) 注意事项 (3) 开发板启动顺序 (3) 第二章使用fastboot烧写镜像 (4) Windows下使用fastboot烧写(推荐) (4) 安装串口工具secureCRT (4) 安装fastboot (6) 烧写Linux映像 (6) 烧写android映像 (8) Linux下使用fastboot烧写(不推荐) (11) 安装串口终端minicom (11) 安装fastboot工具 (12) 烧写Linux映像 (13) 烧写android映像 (14) 第三章使用SD卡烧写镜像 (16) 注意事项 (16) Windows下制作SD启动卡(推荐) (16) Linux下制作SD启动卡 (20) 使用SD启动卡烧写Linux映像 (23) 使用SD启动卡烧写Android映像 (24)

第一章前言 开发板的嵌入式操作系统,包含Linux和Android操作系统。我们出厂时会烧写或者固化其中一个操作系统在里面。本手册讲述如何固化嵌入式操作系统到我们的开发板中。 注意事项 我们把编译好的镜像系统文件,通过SD或者USB的下载方式,固化到板载的eMMC储存器中(ROM),以下简称为“‘刷机”。 方法一:通过fastboot工具,USB下载方式 方法二:通过SD卡方式 使用fastboot工具烧写Linux和android映像时,核心板必须存在uboot(引导程序),因为烧写时需要使用uboot上的fastboot功能, 在板子不存在uboot时,请使用SD卡烧写方式。 使用fastboot烧写时,电脑上必须存在串口接口或者拥有usb转串口模块,使其连接电脑与开发板,让电脑能够通过串口与开发板通信 开发板启动顺序 6818开发板硬件配置固定了开发板启动顺序如下: 1st:从TF卡启动 2nd:从EMMC启动 3rd:从USB启动 开发板上电后首先从TF卡启动,若SD0插入了启动卡则从SD启动;如果SD0未插卡或者插入的不是启动卡,则启动失败;然后从板载EMMC(SD2)启动,若EMMC中已经烧录固件则启动成功,否则启动失败,最后尝试从USB启动。

TCL基础教程——(4)字符串处理

TCL基础教程——(4)字符串处理 对于任何一种脚本语言来说,强大的字符串处理功能都是为人们所津津乐道的,TCL也不例外,那么究竟TCL的字符串处理有什么功能呢?下面将介绍简单模式匹配,在日后的文章中,还将介绍正则表达式。String命令 String命令实际上是一组操作字符串的命令,它的第一个变元决定了进行什么样子的操作,所有String 的命令如下:

对于我来说,常用的有如下几个方法,length,equal,match,range,first。请看下面的程序[ppcorn@localhost ppcorn]$ cat strtest.tcl #!/usr/bin/tclsh set str1 str1 set str2 str1 set str3 isstr1?

set str4 he index of str1 # print the length of str1,the value should be 4 puts [string length $str1] # print the str1 equal str2, the value should be 1 puts [string equal $str1 $str2] # print the str2 match str3, the value should be 1 puts [string match *$str1* $str3] # print the 4 to 9 of str4, the value should be index puts [string range $str4 4 9] # print the postion of first str1 in str4, the value should be 13 puts [string first $str1 $str4] [ppcorn@localhost ppcorn]$ ./strtest.tcl 4 1 1 index 13 请注意一下string match的用法,中间使用了*$str1*的用法,这里使用了模糊匹配。一共有三种进行匹配的方式

Tcl简介(一):Tcl 语法

Tcl简介(一):Tcl 语法 Tcl 语法 Tcl是一种很通用的脚本语言,它几乎在所有的平台上都可以释运行,其强大的功能和简单精妙的语法会使你感到由衷的喜悦,这片文章对Tcl有很好的描述和说明。如果你看起来很吃力,那是因为Tcl与一般的语言有一些不同之处,刚开始可能有一些不理解,但很快就会掌握的。请坚持一下,我能坚持写完,你至少也应该坚持读一遍吧! Tcl Overview 这篇文章里包含了几乎Tcl 的全部。文章的作者是Tcl的缔造者John Ousterhout,对Tcl的诠释非常清楚。Introduction 简介 Tcl 代表"tool command language" 发音为"tickle." 。它实际上包含了两个部分:一个语言和一个库。 首先,Tcl是一种简单的脚本语言,主要使用于发布命令给一些互交程序如文本编辑器、调试器和shell。它有一个简单的语法和很强可扩充性,Tcl可以创建新的过程以增强其内建命令的能力。 其次,Tcl是一个库包,可以被嵌入应用程序,Tcl的库包含了一个分析器、用于执行内建命令的例程和可以使你扩充(定义新的过程)的库函数。应用程序可以产生Tcl命令并

执行,命令可以由用户产生,也可以从用户接口的一个输入中读取(按钮或菜单等)。但Tcl库收到命令后将它分解并执行内建的命令,经常会产生递归的调用。 应用程序使用Tcl作为它的命令语言有三个好处: 1 Tcl提供了标准语法,一旦用户掌握了Tcl就可以很容易的发布命令给基于Tcl的程序。 2 Tcl实现了很多的功能,使你的工作变得很方便。 3 TCl可作为程序间通信的接口。 Tcl Interpreters 解释器 在Tcl的数据结构中的核心是Tcl_Interp.一个解释器包含了 一套命令,一组变量和一些用于描述状态的东西。每一个Tcl 命令是在特定的Tcl_Interp中运行的,基于Tcl的应用程序可以同时拥有几个Tcl_Interp。Tcl_Interp是一个轻量级的结构,可以快速的新建和删除。 Tcl Data Types 数据类型 Tcl只支持一种数据结构:字符串(string)。所有的命令, 命令的所有的参数,命令的结果,所有的变量都是字符串。请牢记这一点,所有的东西都是字符串。 然而字符串的实际解释是依赖于上下文或命令的。它有三种形式:命令(command), 表达式(expresion)和表(list)。下面 会讨论细节。 Basic Command Syntax 基本语法

Spirent Testcenter 自动化测试脚本 TCL(1)

Spirent Testcenter自动化测试脚本TCL -1 基本功能 2015-06-18 浏览(69) #!/bin/sh # aTemplate.tcl exec tclsh "$0" ${1+"$@"} #----------------------------------------------------- # # #----------------------------------------------------- #引用STC API ,SpirentTestCenter.tcl的路径 source {C:ProgramFilesSpirentCommunicationsSpirentTestCenter 4.20Spirent TestCenterApplicationSpirentTestCenter.tcl} #开启日志,-logTo:日志保存路径,使用stdout为标准输出; -loglevel:可选DEBUG、INFO 、WARN 和ERROR 四种; stc::configautomationoptions -logTo "aTemplateLog.txt" -logLevel DEBUG #配置机框Ip槽位端口号 set chassisAddress "192.168.0.100" set slotPort1 "8/25" set slotPort2 "8/26" #创建一个项目

set ProjectA [stc::create project] #在项目ProjextA下创建一个发送端口和一个接收端口 set TxPort [stc::create port -under $ProjectA] set RxPort [stc::create port -under $ProjectA] set portReturn [stc::config $TxPort -location "//$chassisAddress/$slotPort1"] set portReturn [stc::config $RxPort -location "//$chassisAddress/$slotPort2"] #配置端口类型,根据实际端口类型选择参数:Ethernet10GigFiber、Ethernet100GigFiber、Ethernet40GigFiber、Ethernet10GigCopper、EthernetCopper等; set ethernetFiber(1) [stc::create "Ethernet10GigFiber" -under $TxPort -Name "ethernetFiber 1"] set ethernetFiber(2) [stc::create "Ethernet10GigFiber" -under $RxPort -Name "ethernetFiber 2"] #在发送端口下创建StreamBlock(1)

Tcl:一个可嵌入的命令语言

Tcl:一个可嵌入的命令语言 :
Tcl 是用于工具命令语言的一个解释器。它由作为基本命令解释器嵌入到工具(比如编辑器、调试器 等)中的一个库包组成。Tcl 提供了(a)用于简单的文本命令语言的分析器,(b)一组内置的实用命 令,和(c)一个 C 接口,工具通过它用特定于工具的命令增加内置命令。Tcl 在与窗口组件库整合的 时候特别有吸引力:它通过了提供变量、过程、表达式等机制增进了组件的可编程性;它允许用户 编程组件的外观和动作二者;并且它在交互式程序之间提供一个简单但强力的通信机制。 本文出现于 1990 Winter USENIX Conference Proceedings
1. 介绍 Tcl 代表了“tool command language - 工具命令语言”。它由一个库包组成,程序可以把它用作自己 的命令语言的基础。Tcl 的开发由两项观察所推动。第一项观察是,通用可编程命令语言通过允许 用户用命令语言写程序来扩展工具的内置设施,从而扩大了工具的能力。在强力的命令语言之中最 众所周知的例子是 UNIX shell[5] 和 Emacs 编辑器[8]。在各自情况下,出现的有着不同寻常能力的 计算环境,在很大程度上是因为能获得可编程的命令语言。 第二个促成它的观察是交互式应用正在增长。在 1970 年代晚期和 1980 年代早期的分时环境中, 几乎所有的程序都是面向批处理的。典型的使用交互式的命令 shell 来调用它们。除了 shell 之 外,只有少数其他的程序是交互式的,比如编辑器和邮件器。正好相反,今天使用的个人工作站, 带有它们自己的光栅显示器和鼠标,鼓励了一种不同的系统结构,在这里大量的程序是交互式的, 并且最常见的交互方式是直接用鼠标操纵单独的应用。此外,今天能获得的大显示器使很多交互式 的应用立即活跃起来成为可能,而对于在十年前很小的屏幕这是不实际的。 不幸的是,很少的今天的交互式程序拥有 shell 或 Emacs 命令语言的能力。在这里好的命令语言 是存在着的,它们趋向与特定的程序捆绑在一起。每个新的交互式程序都要求开发一个新的命令语 言。在多数情况下,应用程序员没有时间或爱好去实现一个通用设施(特别是在应用自身很简单的 时候),所以结果的命令语言趋向于带有不充分的功能和笨拙的语法。 Tcl 是一个独立于应用的命令语言。它作为一个 C 库包存在,可以用于很多不同的程序中。Tcl 库 提供了用于简单但完全可编程的命令语言的一个分析器。这个库还实现了提供了通用的编程构造的 一组内置命令,比如变量、列表、表达式、条件、循环和过程。单个的应用程序可以用特定于应用 的命令来扩展基本的 Tcl 语言。Tcl 库还提供一组实用工具例程来简化特定于工具的命令的实现。 我相信 Tcl 在窗口环境中是特别有用的,它提供了两项优势。首先,它可以用做编制应用的界面的 一个通用机制。如果一个工具基于 Tcl,则应当相对容易的去修改应用的用户界面,并使用新命令 来扩展这个界面。其次和更重要的是,Tcl 为工具之间通信提供一种统一的框架。如果在所有的工 具中统一使用了它,Tcl 将使工具在一起工作得比今天的状况更加优雅。 本文余下部分组织如下:第 2 节描述用户见到的 Tcl 语言。第 3 节讨论在应用程序中如何使用 Tcl,包括在应用程序和 Tcl 库之间的 C 语言接口。第 4 节描述在窗口环境中如何使用 Tcl 来定制 界面动作和外观。第 5 节展示如何使用 Tcl 作为进程间通信的媒介,和为什么这很重要。第 6 表述 Tcl 实现的状态和一些初步的性能测量。第 7 节把 Tcl 与 Lisp、Emacs 和 NeWS 做对比,第 8 节 总结本文。 2. Tcl 语言

Tcl脚本语言教程

Tcl教程 TCL语法 ■脚本、命令和单词符号 (2) ■置换(substitution) (2) ■注释 (5) 变量 ■简单变量 (5) ■数组 (6) ■相关命令 (6) 表达式 ■操作数 (7) ■运算符和优先级 (7) ■数学函数 (8) List ■list命令 (10) ■concat命令 (10) ■lindex命令 (11) ■llength命令 (11) ■linsert命令 (11) ■lreplace命令 (11) ■lrange 命令 (11) ■lappend命令 (12) ■lsearch 命令 (12) ■lsort命令 (13) ■split命令 (13) ■join命令 (13) 控制流 ■if命令 (13) ■循环命令:while 、for、foreach (14) ■eval命令 (15) ■source命令 (16) 过程(procedure) ■过程定义和返回值 (16) ■局部变量和全局变量 (17) ■缺省参数和可变个数参数 (17) ■引用:upvar (18) 字符串操作 ■format命令 (19) ■scan命令 (20) 1

■regexp命令 ■regsub命令 ■string命令 文件访问 ■文件名 ■基本文件输入输出命令 ■随机文件访问 ■当前工作目录 ■文件操作和获取文件信息 错误和异常 ■错误 ■从TCL脚本中产生错误 ■使用catch捕获错误 ■其他异常 深入TCL ■查询数组中的元素 ■info命令 TCL语法> 脚本、命令和单词符号 一个TCL脚本可以包含一个或多个命令。命令之间必须用换行符或分号隔开,下面的两个脚本都是合法的: set a 1 set b 2 或 set a 1;set b 2 TCL的每一个命令包含一个或几个单词,第一个单词代表命令名,另外的单词则是这个命令的参数,单词之间必须用空格或TAB键隔开。 TCL解释器对一个命令的求值过程分为两部分:分析和执行。在分析阶段,TCL 解释器运用规则把命令分成一个个独立的单词,同时进行必要的置换(substitution);在执行阶段,TCL 解释器会把第一个单词当作命令名,并查看这个命令是否有定义,如果有定义就激活这个命令对应的C/C++过程,并把所有的单词作为参数传递给该命令过程,让命令过程进行处理。 TCL语法> 置换(substitution) 注:在下面的所有章节的例子中,'%'为TCL的命令提示符,输入命令回车后,TCL会在接着的一行输出命令执行结果。'//'后面是我自己加上的说明,不是例子的一部分。 TCL解释器在分析命令时,把所有的命令参数都当作字符串看待,例如: 2

pymol基本教学教程

简介&安装 Pymol是一个开放源码,由使用者赞助的分子三维结构显示软件,由Warren Lyford DeLano编写,并且由DeLano Scientific LLC负责商业发行。Pymol被用来创作高品质的分子(特别是生物大分子如蛋白质)三维结构。据软件作者宣称,在所有正式发表的科学论文中的蛋白质结构图像中,有四分之一是使用Pymol来制作的。 Pymol名字的来源:“Py”表示该软件基于python这个计算机语言,“Mol”则是英文分子(molucule)的缩写,表示该软件用来显示分子结构。 由于实验需要,本人正在学习该软件,在这里把学习过程记录下来,希望对有需要的朋友有所帮助。今天先来说说安装吧。 自2006年8月1日起,DeLano Scientific 对事先编译好的PyMOL执行程序(包括beta版)采取限定下载的措施。目前,只有付费用户可以取得。不过源代码目前还是可以免费下载,供使用者编译。如果你和我一样,不想为此花钱的话: 1.如果你是Windows用户,首先下载Pymol的源代码。 然后安装CygWin,并且确保正确安装以下模块: ?C++ (gcc or g++ package name) ?Python ?OpenGL ?PNG 然后在源代码目录里面依次运行:

2.如果你是Linux用户,首先确保以下东东已安装: ?Python ?Pmw ?OpenGL driver(我用的是NVdia) ?libpng ?Subversion client(下载源代码需要) 然后下载Pymol的源代码 $ mkdir pymol-src $ svn co https://https://www.doczj.com/doc/136338194.html,/svnroot/pymol/trunk/pymol pymol-src 然后进入源代码目录 # cd pymol-src 开始依次编译 # python setup.py install # python setup2.py install 拷贝执行脚本到某个$PATH,安装就搞定了 # cp ./pymol /usr/bin

相关主题
相关文档 最新文档