当前位置:文档之家› 数字电子技术试题和答案(题库)

数字电子技术试题和答案(题库)

数字电子技术试题和答案(题库)
数字电子技术试题和答案(题库)

数字电子技术基础试题(一)一、填空题 : (每空1分,共10分)

1. (30.25) 10 = ( ) 2 = ( ) 16 。

2 . 逻辑函数L = + A+ B+ C +D = 。

3 . 三态门输出的三种状态分别为:、和。

4 . 主从型JK触发器的特性方程= 。

5 . 用4个触发器可以存储位二进制数。

6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。

二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 )

1.设图1中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。

图 1

2.下列几种TTL电路中,输出端可实现线和功能的电路是()。

A、或非门

B、和非门

C、异或门

D、OC门

3.对CMOS和非门电路,其多余输入端正确的处理方法是()。

A、通过大电阻接地(>1.5KΩ)

B、悬空

C、通过小电阻接地(<1KΩ)

D、通过电阻接V CC

4.图2所示电路为由555定时器构成的()。

A、施密特触发器

B、多谐振荡器

C、单稳态触发器

D、T触发器

5.请判断以下哪个电路不是时序逻辑电路()。图2

A、计数器

B、寄存器

C、译码器

D、触发器

6.下列几种A/D转换器中,转换速度最快的是()。图2

A、并行A/D转换器

B、计数型A/D转换器

C、逐次渐进型A/D转换器

D、双积分A/D转换器

7.某电路的输入波形 u I 和输出波形 u O 如图 3所示,则该电路为()。

图3

A、施密特触发器

B、反相器

C、单稳态触发器

D、JK触发器

8.要将方波脉冲的周期扩展10倍,可采用()。

A、10级施密特触发器

B、10位二进制计数器

C、十进制计数器

D、10位D/A转换器

9、已知逻辑函数和其相等的函数为()。

A、B、C、D、

10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。

A、4

B、6

C、8

D、16

三、逻辑函数化简(每题5分,共10分)

1、用代数法化简为最简和或式

Y= A +

2、用卡诺图法化简为最简或和式

Y= + C +A D,约束条件:A C + A CD+AB=0

四、分析下列电路。(每题6分,共12分)

1、写出如图4所示电路的真值表及最简逻辑表达式。

图 4

2、写出如图5所示电路的最简逻辑表达式。

图 5

五、判断如图 6所示电路的逻辑功能。若已知 u B =-20V,设二极管为理想二极管,试根据 u A 输入波形,画出 u 0 的输出波形(8分)

t

图 6

六、用如图 7所示的8选1数据选择器CT74LS151实现下列函数。(8分)

Y(A,B,C,D)=Σm(1,5,6,7,9,11,12,13,14)

图 7

七、用 4位二进制计数集成芯片CT74LS161采用两种方法实现模值为10的计数器,要求画出接线图和全状态转换图。(CT74LS161如图8所示,其LD端为同步置数端,CR为异步复位端)。(10分)

图 8

八、电路如图 9所示,试写出电路的激励方程,状态转移方程,求出Z 1 、Z 2 、Z 3 的输出逻辑表达式,并画出在CP脉冲作用下,Q 0 、Q 1 、Z 1 、Z 2 、Z 3 的输出波形。

(设 Q 0 、Q 1 的初态为0。)(12分)

数字电子技术基础试题(一)参考答案

一、填空题 :

1. (30.25) 10 = ( 11110.01 ) 2 = ( 1E.4 ) 16 。

2 . 1。

3 . 高电平、低电平和高阻态。

4 . 。

5 . 四。

6 . 12、 8

二、选择题:

1.C

2.D

3.D

4.A

5.C

6.A

7.C

8.C

9.D 10.C

三、逻辑函数化简

1、Y=A+B

2、用卡诺图圈0的方法可得:Y=(+D)(A+ )(+ )

四、 1、该电路为三变量判一致电路,当三个变量都相同时输出为1,否则输出为0。

2、B =1,Y = A ,

B =0 Y 呈高阻态。

五、 u 0 = u A · u B ,输出波形 u 0 如图 10所示:

图 10

六、如图 11所示:

D

图11

七、接线如图 12所示:

图 12 全状态转换图如图 13 所示:

( a )

( b )

图 13

八、,,波形如图 14所示:

数字电子技术基础试题(二)一、填空题 : (每空1分,共10分)

1.八进制数 (34.2 ) 8 的等值二进制数为() 2 ;

十进制数 98 的 8421BCD 码为() 8421BCD 。

2 . TTL 和非门的多余输入端悬空时,相当于输入电平。

3 .图15所示电路中的最简逻辑表达式为。

图 15

4. 一个 JK 触发器有个稳态,它可存储位二进制数。

5. 若将一个正弦波电压信号转换成同一频率的矩形波,应采用电路。

6. 常用逻辑门电路的真值表如表1所示,则 F 1 、 F 2 、 F 3 分别属于何种常用逻辑门。

表 1

A B F 1 F 2 F 3

0 0 1 1 0

0 1 0 1 1

1 0 0 1 1

1 1 1 0 1

F 1 ;F 2 ;F 3 。

二、选择题: (选择一个正确答案填入括号内,每题3分,共30分 )

1、在四变量卡诺图中,逻辑上不相邻的一组最小项为:()

A、m 1 和m 3

B、m 4 和m 6

C、m 5 和m 13

D、m 2 和m 8

2、 L=AB+C 的对偶式为:()

A 、 A+BC ;

B 、( A+B )

C ; C 、 A+B+C ;

D 、 ABC ;

3、半加器和的输出端和输入端的逻辑关系是()

A、和非

B、或非

C、和或非

D、异或

4、 TTL 集成电路 74LS138 是3 / 8线译码器,译码器为输出低电平有效,若输入为 A 2 A 1 A 0 =101 时,

输出:为()。

A . 00100000 B. 11011111 C.11110111 D. 00000100

5、属于组合逻辑电路的部件是()。

A、编码器

B、寄存器

C、触发器

D、计数器

6.存储容量为8K×8位的ROM存储器,其地址线为()条。

A、8

B、12

C、13

D、14

7、一个八位D/A转换器的最小电压增量为0.01V,当输入代码为10010001时,输出电压为()V。

A、1.28

B、1.54

C、1.45

D、1.56

8、T触发器中,当T=1时,触发器实现()功能。

A、置1

B、置0

C、计数

D、保持

9、指出下列电路中能够把串行数据变成并行数据的电路应该是()。

A、JK触发器

B、3/8线译码器

C、移位寄存器

D、十进制计数器

10、只能按地址读出信息,而不能写入信息的存储器为()。

A、 RAM

B、ROM

C、 PROM

D、EPROM

三、将下列函数化简为最简和或表达式(本题 10分)

1. (代数法)

2、F 2 ( A,B,C,D)=∑m (0,1,2,4,5,9)+∑d (7,8,10,11,12,13)(卡诺图法)

四、分析如图 16所示电路,写出其真值表和最简表达式。(10分)

五、试设计一个码检验电路,当输入的四位二进制数 A、B、C、D为8421BCD码时,输出Y为1,否则Y为0。(要求写出设计步骤并画电路图)(10分)

六、分析如图17所示电路的功能,写出驱动方程、状态方程,写出状态表或状态转换图,说明电路的类型,并判别是同步还是异步电路?(10分)

图17

七、试说明如图 18所示的用555 定时器构成的电路功能,求出U T+ 、U T- 和ΔU T ,并画出其输出波形。(10分)

图 18

八、如图19所示的十进制集成计数器;的为低电平有效的异步复位端,试将计数器用复位法接成八进制计数器,画出电路的全状态转换图。( 10分)

图 19

数字电子技术基础试题(二)参考答案

一、填空题 :

? 11100.01 , 10011000

?高

? AB

?两,一

?多谐振荡器

?同或,和非门,或门

二、选择题:

1. D 2. B 3. D 4. B 5. A

6. C

7. C

8. C

9. C 10. B

三、 1. 2.

四、 1.

2. , , ,

五、

六、同步六进制计数器,状态转换图见图 20。

图 20

七、, , ,波形如图 21 所示

图 21

八、八进制计数器电路如图 22所示。

数字电子技术试题(三)及答案.

一.选择题(18分)

1.以下式子中不正确的是()

a.1?A=A

b.A+A=A

c .B A B A +=+

d .1+A =1

2.已知B A B B A Y ++=下列结果中正确的是( ) a .Y =A b .Y =B c .Y =A +B d .B A Y +=

3.TTL 反相器输入为低电平时其静态输入电流为( ) a .-3mA b .+5mA c .-1mA d .-7mA

4.下列说法不正确的是( ) a .集电极开路的门称为OC 门

b .三态门输出端有可能出现三种状态(高阻态、高电平、低电平)

c .OC 门输出端直接连接可以实现正逻辑的线或运算

d 利用三态门电路可实现双向传输 5.以下错误的是( )

a .数字比较器可以比较数字大小

b .实现两个一位二进制数相加的电路叫全加器

c .实现两个一位二进制数和来自低位的进位相加的电路叫全加器

d .编码器可分为普通全加器和优先编码器 6.下列描述不正确的是( )

a .触发器具有两种状态,当Q=1时触发器处于1态

b .时序电路必然存在状态循环

c .异步时序电路的响应速度要比同步时序电路的响应速度慢

d .边沿触发器具有前沿触发和后沿触发两种方式,能有效克服同步触发器的空翻现象 7.电路如下图(图中为下降沿Jk 触发器),触发器当前状态Q 3 Q 2 Q 1为“011”,请问时钟作用下,触发器下一状态为( )

a .“110”

b .“100”

c .“010”

d .“000”

8、下列描述不正确的是( )

a .时序逻辑电路某一时刻的电路状态取决于电路进入该时刻前所处的状态。

b .寄存器只能存储小量数据,存储器可存储大量数据。

c .主从JK 触发器主触发器具有一次翻转性

d .上面描述至少有一个不正确 9.下列描述不正确的是( )

a .EEPROM 具有数据长期保存的功能且比EPROM 使用方便

b .集成二—十进制计数器和集成二进制计数器均可方便扩展。

c .将移位寄存器首尾相连可构成环形计数器

d .上面描述至少有一个不正确 二.判断题(10分)

1.TTL 门电路在高电平输入时,其输入电流很小,74LS 系列每个输入端的输入电流在40uA 以下( ) 2.三态门输出为高阻时,其输出线上电压为高电平( ) 3.超前进位加法器比串行进位加法器速度慢( )

4.译码器哪个输出信号有效取决于译码器的地址输入信号( ) 5.五进制计数器的有效状态为五个( ) 6. 施密特触发器的特点是电路具有两个稳态且每个稳态需要相应的

输入条件维持。( )

7. 当时序逻辑电路存在无效循环时该电路不能自启动()

8. RS 触发器、JK 触发器均具有状态翻转功能( )

9. D/A 的含义是模数转换( ) 10.构成一个7进制计数器需要3个触发器( ) 三.计算题(5分)

如图所示电路在V i =0.3V 和V i =5V 时输出电压V 0分别为多少,

三极管分别工作于什么区(放大区、截止区、饱和区)。

四.分析题(24分)

1.分析如图所示电路的逻辑功能,写出Y 1、Y 2的逻辑函数式,列出真值表,指出电路能完成什么逻辑功能。

V i

10k 3k GND +5V V 0

2.分析下面的电路并回答问题

(1) 写出电路激励方程、状态方程、输出方程 (2) 画出电路的有效状态图

(3) 当X=1时,该电路具有什么逻辑功能 五.使用题(43分)

1.用卡诺图化简以下逻辑函数

①D C A C B A D C D C A ABD ABC Y ++?+++=

②()D C A C B A B A D C Y ?++⊕=,给定约束条件为AB +CD =0

2.有一水箱,由大、小两台水泵M L 和M S 供水,如图所示。水箱中设置了3个水位检测元件A 、B 、C 。水面低于检测元件时,检测元件给出高电平;水面高于检测元件时,检测元件给出低电平。现要求当水位超过C 点时水泵停止工作;水位低于C 点而高于B 点时M S 单独工作;水位低于B 点而高于A 点时M L 单独工作;水位低于A 点时M L 和M S 同时工作。试用74LS138加上适当的逻辑门电路控制两台水泵的运行。

74LS138的逻辑功能表

3. 74LS161逻辑符号及功能表如下

(1)假定161当前状态Q 3 Q 2 Q 1Q 0为“0101”“D 0 D 1 D 2 D 3”为“全1”,LD =0,请画出在两个CP ↑作用下的状态转换关系?

(2)请用复位法设计一个六进制记数器(可附加必要的门电路)

4.分析右面的电路并回答问题

(1)该电路为单稳态触发器还是无稳态触发器? (2)当R =1k 、C =20uF 时,请计算电路的相关参数(对单稳态触发器而言计算脉宽,对无稳态触发器而言计算周期)。

A 卷答案

一.选择题(18分) 1. c 2. c 3. c 4. c 5.b 6. A 7. B 8. A 9. B 二.判断题(10分) 1.( √ )2.( × )3.( × )4.( √ )5.( √ )6.( √ )7.( √ )8.( × )9.( × )10.( √ ) 三.计算题 解:(1)0.3V Vi =时,三极管截止,工作在截止区,5V Vo =; (2)5V V i =时,三极管导通,工作在饱和区,V

V ce 0V (max)o ≈=

输 入 输 出

S 1

32S S + A 2 A 1 A 0

0Y 1Y 2Y 3Y 4Y 5Y 6Y

7Y

0 X X X X 1 1 1 1 1 1 1 1 X 1 X X X 1 1 1 1 1 1 1 1 1 0 0 0 0 0 1 1 1 1 1 1 1 1 0 0 0 1 1 0 1 1 1 1 1 1 1 0 0 1 0 1 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1 1 1 1 0 1 0 0 1 1 1 1 0 1 1 1 1 0 1 0 1 1 1 1 1 1 0 1 1 1 0 1 1 0 1 1 1 1 1 1 0 1 1

1 1 1

1 1 1 1 1 1 1 0

CR LD CT P CT T CP D 0 D 1 D 2 D 3

Q 0 Q 1 Q 2 Q 3 0 × × × × ×××× 1 0 × × ↑ d 0d 1 d 2 d 3 1 1 1 1 ↑ ×××× 1 1 × 0 × ×××× 1 1 0 1 × ××××

0 0 0 0 d 0 d 1 d 2 d 3 正常计数 保持(但C =0)

保持

《数字电路》期末模拟试题及答案

. 一、填空题 1. PN 结具有单向导电性。正向偏置时,多子以扩散运动为主,形成正向电流;反向 偏置时,少子漂移运动,形成反向饱电流。 2. 双极型晶体三极管输出特性曲线的三个工作区是放大区、截止区、饱和区。 3. 已知三态与非门输出表达式C AB F ?=,则该三态门当控制信号C 为高电平时, 输出为高阻态。 4. 十进制数211转换成二进制数是(11010011)2;十六进制数是(D3)16。 5. 将若干片中规模集成电路计数器串联后,总的计数容量为每片计数容量的乘积。 6. 若用触发器组成某十一进制加法计数器,需要四个触发器,有五个无效状态。 7. 同步RS 触发器的特性方程为n 1n Q R S Q +=+;约束方程为RS=0 。 8. 下图所示电路中,Y 1 =B A Y 1= 2Y 3 =AB Y 3= 二、选择题 1. 下列函数中,是最小项表达式形式的是____c _____。 A. Y=A+BC B. Y=ABC+ACD C. C B A C B A Y +?= D. BC A C B A Y +?= 2. 要实现n 1n Q Q =+,JK 触发器的J 、K 取值应为__d ___。 A . J=0,K=0 B. J=0,K=1 C. J=1,K=0 D. J=1,K=1 3.数值[375]10与下列哪个数相等_b __。 A . [111011101]2 B. [567]8 C. [11101110]BCD D. [1F5]16 4.属于组合逻辑电路的是_____b ______ A . 触发器 B. 全加器 C. 移位寄存器 D. 计数器 5.M 进制计数器状态转换的特点是:设定初态后,每来_c __个计数脉冲CP ,计数器重 新 B 2 B V CC Y 1

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1.时序逻辑电路一般由和两分组成。 2.十进制数(56)10转换为二进制数为和十六进制数为。 3.串行进位加法器的缺点是,想速度高时应采用加法器。 4.多谐振荡器是一种波形电路,它没有稳态,只有两个。 5.用6个D 触发器设计一个计数器,则该计数器的最大模值M=。 123(1(24.T ,图1 5 时,6.D 触发器 的Q 和Q1的表达式,并画出其波形。 图 D=Q n+1=Q 1= 7.已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表;

⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A 、B 、C 输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2ABCF 2求: (1(21.3.4.产生5.32 10分,共 70分) 1.解: 2.证明:左边 3.解: (1)化简该 函数为最简与或式: 解: F 3()43A B C D E A B C D E AB AC A D E =++++--------------=?+++--------------=++-------------分 分 分 ()()33()(1)22BC D B C AD B BC D BAD CAD BC BC BC D BA CA =++++--------------=++++--------------=++++-----------------------分 分分分

填对卡诺图圈对卡诺图-----------2分 由卡诺图可得: F A B A C D A C D B C B D =++++------------------------------2分 (2)画出用两级与非门实现的最简与或式电路图: 则可得电路图如下:------------------------------------------------2分 4.T 1=0.7T=0.7f= T 1=q= 1T T 5.6. 方程: n n n Q Q K Q 0 0000=+ 1111110(n n n n Q J Q K Q Q X +=+=⊕(2分) ③输出方程:n n Q Q Y 01=-----------------------------------------(1分) ④状态表:--------------------------------------------------------------------(3分) ⑤从状态表可得:为受X 控制的可逆4进制值计数器。-----------------------------(2分) 1.解:(1)依题意得真值表如下:--------------------------3分 0102J J Q ⊕(分)

数字电子技术考试题及答案

太原科技大学 数字电子技术 课程试卷 B 卷 一、单选题(20分,每小题1分)请将本题答案全部写在下表中 1、8421BCD 码10000001转化为十六进制数是( )。 A 、15 B 、51 C 、81 D 、18 2、n 位二进制数的反码或其原码,表示的十进制数是( )。 A 、21n - B 、2n C 、1 2n - D 、2n 3、TTL 与非门多余输入端的处理是( )。 A 、接低电平 B 、任意 C 、 通过 100W 电阻接地 D 、通过 100k W 电阻接地 4、OD 非门在输入为低电平(输出端悬空)情况下,输出为( )状态。 A 、高电平 B 、低电平 C 、开路 D 、不确定 5、与()Y A B A =e e 相等的逻辑函数为( )。 A 、Y B = B 、Y A = C 、Y A B =? D 、Y A B =e 6、下列(,,)F A B C 函数的真值表中1Y =最少的为( )。 A 、Y C = B 、Y AB C = C 、Y AB C =+ D 、Y BC C =+ 7、( )是组合逻辑电路的特点。 A 、输出仅取决于该时刻的输入 B 、后级门的输出连接前级门的输入 C 、具有存储功能 D 、由触发器构成 8、半加器的两个加数为A 和B ,( )是进位输出的表达式。 A 、AB B 、A B + C 、AB D 、AB 9、欲使JK 触发器1 n Q Q +=,J 和K 取值正确的是( )。 A 、,J Q K Q == B 、J K Q == C 、0J K == D 、,1J Q K == 10、字数为128的ROM 存储器存储容量为1204位,字长为( )位,地址线为( )根。 A 、8,8 B 、8,7 C 、4,7 D 、4,8 11、一个四位二进制减法计数器初始状态为0110,经过101个脉冲有效沿触发后,它的输出是 ( )。 A 、0000 B 、0001 C 、0011 D 、0010 12、要用1K×8的RAM 扩展成8K×16的RAM ,需选用( )译码器。 A 、 3线-8线 B 、2线-4线 C 、1线-2线 D 、4线-16线

数字电子技术基础习题及答案

数字电子技术试卷(1) 一.填空(16) 1.十进制数123的二进制数是 1111011 ;十六进制数是 7B 。 2.100001100001是8421BCD 码,其十进制为 861 。 3.逻辑代数的三种基本运算是 与 , 或 和 非 。 4.三态门的工作状态是 0 , 1 , 高阻 。 5.描述触发器逻辑功能的方法有 真值表,逻辑图,逻辑表达式,卡诺图,波形图 。 6.施密特触发器的主要应用是 波形的整形 。 7.设4位D/A 转换器的满度输出电压位30伏,则输入数字量为1010时的输出模拟电压为 。 8.实现A/D 转换的主要方法有 , , 。 三.化简逻辑函数(14) 1.用公式法化简- -+++=A D DCE BD B A Y ,化为最简与或表达式。 解;D B A Y +=- 2.用卡诺图化简∑∑=m d D C B A Y ),,,,()+,,,,(84210107653),,,(,化为最简与或表达式。 四.电路如图1所示,要求写出输出函数表达式,并说出其逻辑功能。(15) 解;C B A Y ⊕⊕=, C B A AB C )(1++=,全加器,Y 为和,1C 为进位。 五.触发器电路如图2(a ),(b )所示,⑴写出触发器的次态方程; ⑵对应给定波形画 出Q 端波形(设初态Q =0)(15) 解;(1)AQ Q Q n +=- +1,(2)、A Q n =+1 六.试用触发器和门电路设计一个同步的五进制计数器。(15) 七.用集成电路定时器555所构成的自激多谐振荡器电路如图3所示,试画出V O ,V C 的工 作波形,并求出振荡频率。(15)

数字电子技术模拟试题4

泰山学院课程考试专用 《数字电子技术》模拟试题 4 (试卷共8页,答题时间120分钟) 一、填空题(每空 1分,共 20 分。) 1、(33)10=( )16=( )2 2、若各门电路的输入均为A 和B ,且A=0,B=1;则与非门的输出为_________,或非门的输出为___ ___,同或门的输出为__ __。 3、一个数字信号只有 种取值,分别表示为 和 。 4、一个三态门如图1.4, 当E ′=__________时,Y=)('AB 。 5、某EPROM 有8位数据线、13位地址线,则其存储容量为 位。 6、若要构成七进制计数器,最少用 个触发器,它有 个无效状态。 7、多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 8、A/D 转换的一般步骤包括 、 、 和 。 9、欲将触发器置为“1”态,应使D R '= , D S '= 。 二、选择题(每题 2分,共 20 分。请将答案填在下面的表格内)1、在不影响逻辑功能的情况下,CMOS 与非门的多余输入端可_______。 A.接高电平 B.接低电平 C.悬空 D.通过大电阻接地 2、下图中,满足Q * =1 的触发器是_____________。

3、由四个触发器构成十进制计数器,其无效状态有__________。 A.四个 B.五个 C.六个 D.十个 4、以下电路中,欲获得一个数字系统的时钟脉冲源,应采用____________。 A .D 触发器 B.多谐振荡器 C.单稳态触发器 D.施密特触发器 5、逻辑代数中有3种基本运算: 、 和 。 A. 或非,与或,与或非 B. 与非,或非,与或非 C. 与非,或,与或 D. 与,或,非 6、用555定时器构成的施密特触发器的回差电压可表示为 。 A. cc V 3 1 https://www.doczj.com/doc/1d11726962.html, V 3 2 C. V cc D. cc V 4 3 7、在下列门电路中,输出端不可以并联使用的是 。 A. 三态门 B.集电极开路门(OC 门) C.具有推挽输出结构的TTL 门电路 D.CMOS 传输门 8、某A/D 转换器有8路模拟信号输入,若8路正弦输入信号的频率分别为1KHz ,…,8KHz ,则该A/D 转换器的采样频率f s 的取值应为 。 A. f s ≤1KHz B. f s =8KHz C. f s ≥16KHz D. f s ≥2KHz 9、四位环形计数器的有效状态有 个。 A. 2 B. 4 C. 6 D. 8 10、下列电路中不属于时序逻辑电路的是 。 A.计数器 B. 全加器 C.寄存器 D.分频器 1、Y 1=A )('BC +AB C ' 2、Y 2(A ,B ,C ,D )=∑m (1,3,5,7,8,9)+∑d(11,12,13,15)四、1、电路如图4.1(a)所示,各电路的CP 、A 、B 、C 波形如图(b )所示。

数字电子技术期末复习题库及答案完整版

数字电子技术期末复习 题库及答案 HEN system office room 【HEN16H-HENS2AHENS8Q8-HENH1688】

第1单元能力训练检测题 一、填空题 1、由二值变量所构成的因果关系称为逻辑关系。能够反映和处理逻辑 关系的数学工具称为逻辑代数。 2、在正逻辑的约定下,“1”表示高电平,“0”表示低电平。 3、数字电路中,输入信号和输出信号之间的关系是逻辑关系,所以数字电路也称为逻辑电路。在逻辑关系中,最基本的关系是与逻辑、或逻辑和 非逻辑。 4、用来表示各种计数制数码个数的数称为基数,同一数码在不同数位所代表的 权不同。十进制计数各位的基数是10,位权是10的幂。 5、8421 BCD码和2421码是有权码;余3码和格雷码是无权码。 6、进位计数制是表示数值大小的各种方法的统称。一般都是按照进位方式来实现计数的,简称为数制。任意进制数转换为十进制数时,均采用按位权展开求和的方法。 7、十进制整数转换成二进制时采用除2取余法;十进制小数转换成二进制时采用 乘2取整法。 8、十进制数转换为八进制和十六进制时,应先转换成二进制,然后再根据转换 的二进数,按照三个数码一组转换成八进制;按四个数码一组转换成十六进制。 9、逻辑代数的基本定律有交换律、结合律、分配律、反演律和 非非律。 10、最简与或表达式是指在表达式中与项中的变量最少,且或项也最少。 13、卡诺图是将代表最小项的小方格按相邻原则排列而构成的方块图。卡诺图的画图规则:任意两个几何位置相邻的最小项之间,只允许一位变量的取值不同。 14、在化简的过程中,约束项可以根据需要看作1或0。 二、判断正误题 1、奇偶校验码是最基本的检错码,用来使用PCM方法传送讯号时避免出错。(对) 2、异或函数与同或函数在逻辑上互为反函数。 (对) 3、8421BCD码、2421BCD码和余3码都属于有权码。 (错) 4、二进制计数中各位的基是2,不同数位的权是2的幂。 (对)

数字电子技术习题附答案

一、填空题。 1 .基本的逻辑门电路有与,或,非。 2.基本逻辑运算有_与_、或、非3种。 3.描述逻辑函数各个变量取值组合与函数值对应关系的表格叫真值表。 4.十进制数72用二进制数表示为 1001000 ,用8421BCD码表示为 01110010 。二进制数111101用十进制数表示为 61 5.数制转换:(8F)16 = ( 143 )10= ( 10001111 )2 = ( 217 )8;(3EC)H = ( 1004 )D; (2003) D = (11111010011)B = ( 3723)O。 6.有一数码10010011,作为自然二进制数时,它相当于十进制数147,作为8421BCD码时,它相当于十进制数93 。 7.(35.75)10=( 100011.11 )2 = ( 00110101.01110101 )8421BCD 。 8.在8421BCD码中,用 4 位二进制数表示一位十进制数。 9.在逻辑运算中,1+1= 1 ;十进制运算中1+1= 2 ;二进制运算中1+1= 10 。 10、表示逻辑函数功能的常用方法有逻辑表达式、逻辑真值表、卡诺图等。 11.将2004个“1”异或得到的结果是(0)。 12.TTL门电路中,输出端能并联使用的有__OC门__和三态门。 13. 在TTL与非门电路的一个输入端与地之间接一个10KΩ电阻,则相当于在该输入端输入高电平。14.TTL与非门多余输入端的处理方法通常有接至正电源,接至固定高电平,接至使用端。 https://www.doczj.com/doc/1d11726962.html,逻辑门是单极型门电路,而TTL逻辑门是双极型门电路。 16.与TTL电路相比,COM电路具有功耗低、抗干扰能力强、便于大规模集成等优点。 17.TTL门电路的电源电压一般为 5 V,CMOS电路的电源电压为3—18 V 。 18.OC门的输出端可并联使用,实现线与功能;三态门可用来实现数据的双向传递、总线结构等。19.三态门输出的三态为1、0、高阻态。 20.为使F=A ,则B应为何值(高电平或低电平)? 1 0 1 21.指出图中各TTL门电路的输出是什么状态(高电平、低电平、高阻)? Y1=0 Y2=1 Y3=高阻态Y4=1 22.若上题图中各电路为CMOS门电路,请问各门电路的输出是什么状态? Y1=1 Y2=1 Y3=高阻态Y4=1 23.函数Y=AB+AC的最小项表达式为(5,6,7) m ∑。 24. 如果对键盘上108个符号进行二进制编码,则至少要7位二进制数码。 注意:红色字体部 分为简略参考答 案,解题过程不全 面、不详细。

数字电子技术模拟试题及答案

《数字电子技术》模拟试题 20分)一、填空题(每题2分,共 1511、十六进制数97 。,对应的十进制数为 0 时,输出为2”描述的是与运算的规则。、“至少有一个输入为 0 变量逻辑函数有16个最小项。、 4 3 运算。非和 4、基本逻辑运算有: 与、或 加器。半 5、两二进制数相加时,不考虑低位的进位信号是 电平。高 6、TTL器件输入脚悬空相当于输入 线、地址线和控制线。数据 7、RAM的三组信号线包括:位。最高8、 采用四位比较器对两个四位数比较时,先比较 15分)二、单项选择题(每个3分,共的国标逻辑符号中是异或门。B 1、图1 图1 C 。2、下列逻辑函数表达式中可能存在竞争冒险的是 B)(B?(A?C)F? B A )B?C)(?(A?BFF?(A?B)(B?C)F?(A?B)(B?C) D C 3、下面逻辑式中,不正确的是_ A___。 ABC?A?B?C B. A. A??ABA D. C. AA??B)A(BAAB?4、时序逻辑电路中必须 有___B___。 A. 输入逻辑变量 B. 时钟信号 C. 计数器 D. 编码器 5、有S1,S2两个状态,条件 B 可以确定S1和S2不等价。 A. 输出相同次态不同D. 次态相同C. 输出不同 B. 10分)三、简答题(共A??B左边=(A?)(A?B)(?1A?A?B)?解:分) 1、(证明:

4B?BA?A?A12、某逻辑函数的真值表如表所示,画出卡诺图。(6分)某逻辑函数的真值表 1 表 F B A C 0 0 0 0 1 1 0 0 1 0 1 0 X 1 1 0 X 0 0 1 0 0 1 1 1 1 0 1 X 1 1 1 分)四、分析题(20 Z 图2 分析图2所示电路的逻辑功能。 1)列出其时钟方程:(2分) CP1=CP↑;CP0=CP↑。 2)列出其驱动方程:(4分) Q1;K0==1 ;J0。Q0J1=;K1=1?Q?Q1或XX3)列出其输出方程:(1分)Z=XQ1Q0 n?1n?1?QQ1Q0Q?Q1?Q0?XQ1或Q1?Q0?XQ1Q04)求次态方程:4(分);10分)9)作状态表及状态图(5.

数字电子技术基础试题及答案 (1)

. 数字电子技术基础期末考试试卷 一、填空题 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题: 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度 T ,振荡频率f 和占空比q 。 ………………………密……………………封…………………………装…………………订………………………线……………………… 系别 专业(班级) 姓名 学号

图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。 图3 ………………封…………………………装…………………订………………………线………………………

D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 三、设计题:(每10分,共20分) 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 2.试用74161、3-8译码器和少量门电路,实现图5所示波形VO1、VO2,其中CP为输入波形。要求: (1)列出计数器状态与V01、V02的真值表;

数字电子技术试题及答案题库

《 数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 本试卷共 6 页,满分100 分;考试时间:90 分钟;考试方式:闭卷 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数( ),作为8421BCD 码时,它相当于 十进制数( )。 2.三态门电路的输出有高电平、低电平和( )3种状态。 3.TTL 与非门多余的输入端应接( )。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接( )电平。 5. 已知某函数??? ??+??? ??++=D C AB D C A B F ,该函数的反函数F =( ) 。 6. 如果对键盘上108个符号进行二进制编码,则至少要( )位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为( )V ,其输出高电平为( )V ,输出低电平为( )V , CMOS 电路的电源电压为( ) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( )根地址线,有( )根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( )位。 11. );Y 3 =( )。

12. 某计数器的输出波形如图1所示,该计数器是( )进制计数器。 13.驱动共阳极七段数码管的译码器的输出电平为( )有效。 二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( )个。 A .16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( )。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( )种。 A .15 B .8 C .7 D .1

《数字电子技术基础》课后习题答案

《数字电路与逻辑设计》作业 教材:《数字电子技术基础》 (高等教育出版社,第2版,2012年第7次印刷) 第一章: 自测题: 一、 1、小规模集成电路,中规模集成电路,大规模集成电路,超大规模集成电路 5、各位权系数之和,179 9、01100101,01100101,01100110; 11100101,10011010,10011011 二、 1、× 8、√ 10、× 三、 1、A 4、B 练习题: 1.3、解: (1) 十六进制转二进制: 4 5 C 0100 0101 1100 二进制转八进制:010 001 011 100 2 1 3 4 十六进制转十进制:(45C)16=4*162+5*161+12*160=(1116)10 所以:(45C)16=(10001011100)2=(2134)8=(1116)10 (2) 十六进制转二进制: 6 D E . C 8 0110 1101 1110 . 1100 1000 二进制转八进制:011 011 011 110 . 110 010 000 3 3 3 6 . 6 2 十六进制转十进制:(6DE.C8)16=6*162+13*161+14*160+13*16-1+8*16-2=(1758.78125)10 所以:(6DE.C8)16=(011011011110. 11001000)2=(3336.62)8=(1758.78125)10 (3) 十六进制转二进制:8 F E . F D 1000 1111 1110. 1111 1101

二进制转八进制:100 011 111 110 . 111 111 010 4 3 7 6 . 7 7 2 十六进制转十进制: (8FE.FD)16=8*162+15*161+14*160+15*16-1+13*16-2=(2302.98828125)10 所以:(8FE.FD)16=(100011111110.11111101)2=(437 6.772)8=(2302.98828125)10 (4) 十六进制转二进制:7 9 E . F D 0111 1001 1110 . 1111 1101二进制转八进制:011 110 011 110 . 111 111 010 3 6 3 6 . 7 7 2 十六进制转十进制: (79E.FD)16=7*162+9*161+14*160+15*16-1+13*16-2=(1950. 98828125)10 所以:(8FE.FD)16=(011110011110.11111101)2=(3636.772)8=(1950.98828125)10 1.5、解: (74)10 =(0111 0100)8421BCD=(1010 0111)余3BCD (45.36)10 =(0100 0101.0011 0110)8421BCD=(0111 1000.0110 1001 )余3BCD (136.45)10 =(0001 0011 0110.0100 0101)8421BCD=(0100 0110 1001.0111 1000 )余3BCD (374.51)10 =(0011 0111 0100.0101 0001)8421BCD=(0110 1010 0111.1000 0100)余3BCD 1.8、解 (1)(+35)=(0 100011)原= (0 100011)补 (2)(+56 )=(0 111000)原= (0 111000)补 (3)(-26)=(1 11010)原= (1 11101)补 (4)(-67)=(1 1000011)原= (1 1000110)补

数字电子技术模拟试题及答案

数字电子技术模拟试题及 答案 Prepared on 24 November 2020

《数字电子技术》模拟试题 一、填空题(每题2分,共20分) 1、十六进制数97,对应的十进制数为 (1) 。 2、“至少有一个输入为0时,输出为 (2) ”描述的是与运算的规则。 3、 (3) 变量逻辑函数有16个最小项。 4、基本逻辑运算有: (4) 、 (5) 和 (6) 运算。 5、两二进制数相加时,不考虑低位的进位信号是 (7) 加器。 6、TTL 器件输入脚悬空相当于输入 (8) 电平。 7、RAM 的三组信号线包括: (9) 线、地址线和控制线。 8、采用四位比较器对两个四位数比较时,先比较 (10) 位。 二、单项选择题(每个3分,共15分) 1、图1的国标逻辑符号中 (11) 是异或门。 图1 2、下列逻辑函数表达式中可能存在竞争冒险的是 (12) 。 A ))((C B B A F ++= B ))((C B B A F ++= C ))((C B B A F ++= D ))((C B B A F ++= 3、下面逻辑式中,不正确的是_ (13)____。 A.C B A ABC ??= B. A AB A += C. ()A A B A += D. AB BA = 4、时序逻辑电路中必须有___(14)___。 A. 输入逻辑变量 B. 时钟信号 C. 计数器 D. 编码 器

5、有S1,S2两个状态,条件(15)可以确定S1和S2不等价。 A. 输出相同 B. 输出不同 C. 次态相同 D. 次态不同 三、简答题(共10分) 1、证明:B A+ = +(4分) A A B 2、某逻辑函数的真值表如表1所示,画出卡诺图。(6分) 表1 某逻辑函数的真值表 A B C F 0 0 0 0 0 0 1 1 0 1 0 1 0 1 1 X 1 0 0 X 1 0 1 0 1 1 0 1 1 1 1 X 四、分析题(20分) Z 图2 分析图2所示电路的逻辑功能。 1)列出其时钟方程:(2分) CP1=;CP0=。 2)列出其驱动方程:(4分) J1=;K1=;J0=;K0=。 3)列出其输出方程:(1分) Z=

数字电子技术基础习题及答案

数字电子技术基础考题 一、填空题:(每空3分,共15分) 1.逻辑函数有四种表示方法,它们分别是(真值表)、(逻辑图)、(逻辑表达式)和(卡诺图)。 2.将2004个“1”异或起来得到的结果是(0 )。 3.由555定时器构成的三种电路中,()和()是脉冲的整形电路。4.TTL器件输入脚悬空相当于输入(高)电平。 5.基本逻辑运算有: (and )、(not )和(or )运算。 6.采用四位比较器对两个四位数比较时,先比较(最高)位。 7.触发器按动作特点可分为基本型、(同步型)、(主从型)和边沿型;8.如果要把一宽脉冲变换为窄脉冲应采用(积分型单稳态)触发器 9.目前我们所学的双极型集成电路和单极型集成电路的典型电路分别是(TTL )电路和(CMOS )电路。 10.施密特触发器有(2)个稳定状态.,多谐振荡器有(0 )个稳定状态。 11.数字系统按组成方式可分为功能扩展电路、功能综合电路两种;12.两二进制数相加时,不考虑低位的进位信号是(半)加器。 13.不仅考虑两个_______本位_____相加,而且还考虑来自___低位进位____相加的运算电路,称为全加器。 14.时序逻辑电路的输出不仅和___该时刻输入变量的取值______有关,而且还与_电路原来的状态_______有关。 15.计数器按CP脉冲的输入方式可分为__同步计数器和____异步计数器_。 16.触发器根据逻辑功能的不同,可分为_____rs______、______jk_____、___t________、___d________、___________等。 17.根据不同需要,在集成计数器芯片的基础上,通过采用__反馈归零法_________、__预置数法_________、__进位输出置最小数法__等方法可以实现任意进制的技术器。 18.4. 一个JK 触发器有 2 个稳态,它可存储 1 位二进制数。 19.若将一个正弦波电压信号转换成同一频率的矩形波,应采用多谐振荡器电路。20.把JK触发器改成T触发器的方法是 j=k=t 。 21.N个触发器组成的计数器最多可以组成2n 进制的计数器。 22.基本RS触发器的约束条件是rs=0 。

数字电子技术试题库

数 字 电 子 技 术 2011年7月23日星期六

1 1 : 对于JK触发器,输入J=0,K=1,CLK脉冲作用后,触发器的次态应为()。 (2分) A:0 B:1 C:Q' D:不确定 您选择的答案: 正确答案: A 知识点:JK触发器的特性为:J=1, K=1时,Q状态为翻转,即Q= Q’ -------------------------------------------------------------------- 2 : 已知Y=A+AB′+A′B,下列结果中正确的是() (2分) A:Y=A B:Y=B C:Y=A+B D:Y=A′+B′ 您选择的答案: 正确答案: C 知识点:利用公式A+AB′=A和A+A′B=A+B进行化简 -------------------------------------------------------------------- 3 : (1001111)2的等值十进制数是() (2分) A:97 B:15.14 C:83 D:79 您选择的答案: 正确答案: D 知识点:把二进制数转换为等值的十进制数,只需将二进制数按多项式展开,然后把所有各项的数值按十进制数相加。 -------------------------------------------------------------------- 4 : 图中为CMOS门电路,其输出为()状态 (2分) A:高电平 B:低电平 C:高阻态 D:不确定 您选择的答案: 正确答案: A 知识点:对于CMOS门电路,输入端接负载时,输入电平不变 -------------------------------------------------------------------- 5 : 四选一数据选择器的数据输出Y与数据输入Di和地址码Ai之间的逻辑表达式为Y=() (2分) A:A1′A0′D0+ A1′A0D1+ A1A0′D2+ A1A0D3 B:A1′A0′D0

数字电子技术基础试卷及答案套

数字电子技术基础1 一.1.(15分) 试根据图示输入信号波形分别画出各电路相应的输出信号波形L1、L2、L3、L4、和L5。设各触发器初态为“0”。 二.(15分) 已知由八选一数据选择器组成的逻辑电路如下所示。试按步骤分析该电路在M1、M2取不同值时(M1、M2取值情况如下表所示)输出F的逻辑表达式。 八选一数据选择器输出端逻辑表达式为:Y=Σm i D i,其中m i是S2S1S0最小项。 三.(8分) 试按步骤设计一个组合逻辑电路,实现语句“A>B”,A、B均为两位二进制数,即A (A1、A0),B(B1、B0)。要求用三个3输入端与门和一个或门实现。 四.(12分) 试按步骤用74LS138和门电路产生如下多输出逻辑函数。 74LS138逻辑表达式和逻辑符号如下所示。 五.(15分) 已知同步计数器的时序波形如下图所示。试用维持-阻塞型D触发器实现该计数器。要求按步骤设计。 六.(18分) 按步骤完成下列两题 1.分析图5-1所示电路的逻辑功能:写出驱动方程,列出状态转换表,画出完全状态转换图和时序波形,说明电路能否自启动。 2.分析图5-2所示的计数器在M=0和M=1时各为几进制计数器,并画出状态转换图。 图5-1

图5-2 七. 八.(10分) 电路下如图所示,按要求完成下列问题。 1.指出虚线框T1中所示电路名称. 2.对应画出V C 、V 01、A 、B 、C 的波形。并计算出V 01波形的周期T=?。 数字电子技术基础2 一.(20分)电路如图所示,晶体管的β=100,Vbe=0.7v 。 (1)求电路的静态工作点; (2) 画出微变等效电路图, 求Au 、r i 和r o ; (3)若电容Ce 开路,则将引起电路的哪些动态参数发生变化?并定性说明变化趋势. 二.(15分)求图示电路中a U 、b U 、b U 、c U 及L I 。 三.(8分)逻辑单元电路符号和具有“0”、“1”逻辑电平输入信号X 1如下图所示,试分别画出各单元电路相应的电压输出信号波形Y 1、Y 2、Y 3。设各触发器初始状态为“0”态。 四.(8分)判断下面电路中的极间交流反馈的极性(要求在图上标出瞬时极性符号)。如为负反馈,则进一步指明反馈的组态。 (a ) (b )

数字电子技术试题和答案(题库)

数字电子技术基础试题(一)一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设图1中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 图 1 2.下列几种TTL电路中,输出端可实现线和功能的电路是()。 A、或非门 B、和非门 C、异或门 D、OC门 3.对CMOS和非门电路,其多余输入端正确的处理方法是()。

A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路()。图2 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。图2 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如图 3所示,则该电路为()。 图3 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 D、10位D/A转换器

数字电路模拟题

题型分布:填空题2*9=18、选择题3*4=12、逻辑函数化简6+7+7=20、画波形10、分析与设计15+25=40 一、填空题 1、与非门的逻辑功能为。 2、数字信号的特点是在上和上都是断续变化的,其高电平和低电平常用 和来表示。 3、三态门的“三态”指,和。 4、逻辑代数的三个重要规则是、、。 5、为了实现高的频率稳定度,常采用振荡器;单稳态触发器 受到外触发时进入态 6、计数器按增减趋势分有、和计数器。 7、一个触发器可以存放位二进制数。 8、优先编码器的编码输出为码,如编码输出A 2A 1 A =011,可知对输入的进 行编码。 9、逻辑函数的四种表示方法是、、、。 10、移位寄存器的移位方式有,和。 11、同步RS触发器中,R,S为电平有效,基本RS触发器中R,S为 电平有效。 12、常见的脉冲产生电路有 13、触发器有个稳态,存储8位二进制信息要个触发器。 14、常见的脉冲产生电路有,常见的脉冲整形电路 有、。 15、数字电路按照是否有记忆功能通常可分为两 类:、。 16、寄存器按照功能不同可分为两类:寄存器和寄 存器。 17、逻辑函数F== 18、触发器有两个互补的输出端Q、,定义触发器的1状态 为,0状态为,可见触发器的状态指的是端的状态。 19、一个触发器可以记忆位二进制代码,四个触发器可以记忆位二进 制代码。 20、主从JK触发器的特性方程。 21、时序逻辑电路按照其触发器是否有统一的时钟控制分为时 序电路和时序电路。 22、为了实现高的频率稳定度,常采用振荡器;单稳态触 发器受到外触发时进入态。 23、触发器有个稳态,存储8位二进制信息要个触发器。 24、逻辑函数的化简有,两种方法。 25、组合逻辑电路没有功能。 26、主从JK触发器的特性方程,D触发器的特性方

数字电子技术基础试卷及答案

数字电子技术基础试题(一) 一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 2.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是()。

A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路()。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为()。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为()。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式

数字电子技术练习题及答案

数字电子技术练习题及答案 一、填空题 1、(238)10=( )2 =( EE )16。(110110.01)2=( 36.4 )16=( 54.25 )10。 2、德?摩根定理表示为 B A +=( B A ? ) , B A ?=( B A + )。 3、数字信号只有( 两 )种取值,分别表示为( 0 )和( 1 )。 4、异或门电路的表达式是( B A B A B A +=⊕ );同或门的表达式是( B A AB B A ?+=⊙ ) 。 5、组成逻辑函数的基本单元是( 最小项 )。 6、与最小项C AB 相邻的最小项有( C B A )、( C B A ? ) 和 ( ABC ) 。 7、基本逻辑门有( 与门 )、( 或门 )和( 非门 )三种。复合门有( 与非门 )、( 或非门 )、( 与或非门 )和( 异或门 )等。 8、 9、 10、最简与或式的定义是乘积项的( 个数最少 ),每个乘积项中相乘的( 变量个数也最少)的与或表达式。 11、在正逻辑的约定下,“1”表示( 高电平 ),“0”表示( 低电平 )。在负逻辑的约定下,“1”表示( 低电平 ),“0”表示( 高电平 )。 12、一般TTL 门电路输出端( 不能 )直接相连,实现线与。(填写“能”或“不能”) 13、三态门的三种可能的输出状态是( 高电平 )、( 低电平 )和( 高阻态 )。 14、实现基本和常用逻辑运算的(电子电路),称为逻辑门电路,简称门电路。 15、在TTL 三态门、OC 门、与非门、异或门和或非门电路中,能实现“线与”逻辑功能的门为(OC 门),能实现总线连接方式的的门为(三态门)。 16、T TL 与非门的多余输入端不能接( 低 )电平。 17、 18、真值表是将输入逻辑变量的( 所有可能取值 )与相应的( 输出变量函数值 )排列在一起而组成的表格。 19、组合逻辑电路是指任何时刻电路的稳定输出,仅仅只决定于(该时刻各个输入变量的取值)。 20、用文字、符号或者数码表示特定对象的过程叫做( 编码 )。把代码的特定含义翻译出来的过程叫( 译码 )。 在几个信号同时输入时,只对优先级别最高的进行编码叫做( 优先编码 )。 21、两个1位二进制数相加,叫做(半加器)。两个同位的加数和来自低位的进位三者相加,叫做(全加器)。 22、比较两个多位二进制数大小是否相等的逻辑电路,称为(数值比较器)。 23、半导体数码显示器的内部接法有两种形式:共(阳)极接法和共(阴)极接法。对于共阳接法的发光二极管数码显示器,应采用(低)电平驱动的七段显示译码器。 24、能够将( 1个 )输入数据,根据需要传送到( m 个 )输出端的任意一个输出端的电路,叫做数据分配器。 25、在多路传输过程中,能够根据需要将( 其中任意一路挑选出来 )的电路,叫做数据选择器,也称为多路选择器或多路开关。 26、触发器又称为双稳态电路,因为它具有( 两个 )稳定的状态。 27、根据逻辑功能不同,触发器可分为( RS 触发器 )、( D 触发器 )、( JK 触发器 )、( T 触发器 )和( T ’触发器 )等。根据逻辑结构不同,触发器可分为( 基本触发器 )、( 同步触发器 )和( 边沿触发器 )等。 28、JK 触发器在JK =00时,具有( 保持 )功能,JK =11时;具有( 翻转 )功能;JK =01时,具有( 置0 )功能;JK =10时,具有( 置1 )功能。 29、JK 触发器具有( 保持 )、( 置0 )、( 置1 )和( 翻转 )的逻辑功能。D 触发器具有( 置0 )和( 置1 )的逻辑功能。RS 触发器具有( 保持 )、( 置0 )和( 置1 )的逻辑功能。 T 触发器具有( 保持 )和( 翻转 )的逻辑功能。T ’触发器具有( 翻转 )的逻辑功能。 30、边沿触发器具有共同的动作特点,即触发器的次态仅取决于CP 信号( 上升沿或下降沿 )到来时刻输入的逻辑状态,而在这时刻之前或之后,输入信号的变化对触发器输出的状态没有影响。 31、基本RS 触发器的特性方程是( n n Q R S Q +=+1 );其约束条件是( 0=RS )。JK 触发器的特性方程是( n n n Q K Q J Q +=+1 );D 触发器的特性方程是( D Q n =+1 );T 触发器的特性方程是( n n n Q T Q T Q +=+1 ); T ’触发器的特性方程是( n n Q Q =+1 )。

相关主题
文本预览
相关文档 最新文档