当前位置:文档之家› 060453EDA技术与VHDL实验指导书 2

060453EDA技术与VHDL实验指导书 2

060453EDA技术与VHDL实验指导书 2
060453EDA技术与VHDL实验指导书 2

EDA与VHDL

实验指导书

v1.0

2013-5-10

ZY11EDA13BE实验系统简介

一、ZY11EDA13BE实验系统特点

1、采用主板A(基本实验系统)+适配板B(扩展板)+扩展板C(适配板)的结构

实验系统主板结构如图1所示。采用主板A(基本实验系统)+适配板B(扩展板)+扩展

板C(适配板)的结构,配置灵活,可扩展性强,专业和应用范围适用面宽,体现出EDA 实验箱工具化的本质。

二、ZY11EDA13BE实验系统主板组成

通用编程模块

液晶显示模块

数码管显示模块

A/D、D/A转换模块

LED显示模块

数字可调信号源

滤波模块

信号调节模块

逻辑笔模块

配置模块

模式选择模块

模拟信号源

4×4键盘模块

开关按键模块

电源模块

分立元件模块

喇叭模块

I/O口插孔

核心芯片A

适配板B插座

扩展板C插座

40PIN插座

三、ZY11EDA13BE实验系统各功能模块介绍

1、通用编程模块

该模块为CPLD/FPGA器件的通用下载电路模块,可以对ALTERA、LATTICE、XILINX 等国际著名的PLD公司几乎所有isp或现场配置的CPLD/FPGA进行编程下载,且能自动识别。选择不同的跳线XK1(5V)、XK2(3.3V)、XK3(2.5V)或XK4(1.8V),可以对不同芯核电压的CPLD/FPGA器件进行在系统编程和配置。

连线/下载方法:将25针下载电缆线一端插入LPT1(打印机口即并行口),另一端连接到通用编程模块的DB25接口,再用十针连接线一头插入通用编程模块的JTAG下载接口处,另一头连接到下载目标芯片的下载接口或配置芯片的配置接口处,然后通过PC机软件进行下载或配置。

DB7为使用EPC2芯片所提供的管脚。

2、液晶显示模块

液晶为选配器件,型号为FM12232B(或兼容型号器件都可以),在配置好液晶后才可以做液晶显示控制实验,该类型液晶的具体工作原理可参考附带光盘中提供的资料(和实验四十三源程序放在一起),液晶设计内容详见实验说明。另外该模块分配了I/O0-I/O10共11个I/O 口,并通过插孔引出I/O0-I/O10。液晶I/O 分布原理图如下所示:

3、数码管显示模块

此模块的I/O 分布原理图如下图所示:

8个数码管(SM8-SM1)为共阴数码管(段码为高电平点亮数码管),I/O2-I/O0(对应74138的C 、B 、A

十字连接插座引脚如右图所示: 定义引脚顺序为: 从下到上,从左到右

I/03-I/O10(对应数码管a-h)为段码,数码管结构图如下图所示:

另外还有I/O11在此模块通过插孔引出,开放出I/O11。

使用方法说明:在位选选中一个数码管情况下送出段码,在该数码管中显示段码字符,然后接着选中其它数码管,送其它字符。在送出的位选足够快的情况下,人眼就分辨不出是一位一位显示出来的,给人的感觉是一起显示的。我们在设计电路时常用32768Hz的信号进行计数来产生位选数据(已足够快)。设计中我们关注的是在选中哪一位时送什么字符,如我们在数码管SM8-SM1中分别显示0-7字符,则位选为000时我们送出0字符的段码,选中到其它位选时对应送出相应字符即完成了显示功能。

4、A/D、D/A转换模块

(1)A/D转换功能:可参考附带光盘中提供的TLC5510芯片资料(和实验三十源程序放在一起)。高速的模数转换器,实验时从插孔输入模拟信号,输入信号幅度要求控制在0-5V。输出D8-D1为采样后的输出数据。目前我们常用的数字存储示波器的原理可以在此实现,可以用核心芯片来设计A/D接口电路与存储电路,把采集到的数据存储起来,要观察时通过D/A输出,这样可以完成数字存储示波器的功能,有兴趣的同学不妨在实验三十的基础上进行设计。

(2)D/A转换功能:可参考附带光盘中提供的TLC7524芯片资料(和实验二十九源程序放在一起)。该芯片把数字量转化为电流量,通过运放转换为电压输出。由于输入的是数字量,最后输出的是间断的点电压值,由于该芯片为高速D/A转换器件,在送出数据很快

的情况下看不出间断点,为此可以利用D/A完成任意波形发生器实验。为了产生比较好的波形,我们可以把输出经过实验箱的滤波模块滤波产生更好的模拟信号。另外一点要注意的是运放是±12V供电,在做实验时一定要打开该电源,否则没有结果输出。

5、LED显示模块

16个发光二极管(LED1-LED16),主要用于输出显示,输出高电平时发光二极管亮。另外I/O12-I/O27在模拟信号源模块的上方通过插孔引出。

6、数字可调信号源

主板上配有非常丰富的时钟信号,为实验提供了极大的方便。时钟源模块共有三组“时钟信号”源(每组分为低中高频段),在该模块中由12MHZ、4.194304MHZ的晶体通过RC 振荡电路、74LS393分频电路依次得到各种不同时钟信号。另外100MHz的时钟信号直接由有源晶体输出,没有经过处理,在测量100MHz的频率时可能误差较大。以上的时钟信号可以分为3组,分别为TJ1、TJ2、TJ3为一组;TJ4、TJ5、TJ6为一组;TJ7、TJ8、TJ9为一组,如下图所示,分别连接到核心芯片A(EP1K30QC208)的GCK1,GCK2,GCK3。

可以通过跳线来调节各种不同的输出频率,同时可以通过插孔CLK1、CLK2、CLK3引出主板系统的三组时钟源用作它用,也可以引入外置的时钟源进入主板系统,使时钟源的使用非常灵活,扩展性强。当打开TPW1电源开关时,时钟源模块开始工作,正常工作时指示灯TL1亮。

上图中GCK1,GCK2,GCK3都可以通过跳线调节时钟信号,频率范围从1Hz-100MHz,

在设计时常用到固定时钟信号,如显示电路设计常用32768Hz。一旦时钟源电源打开时就有信号输入到核心芯片上,影响对芯片的配置,所以在对核心芯片下载时最好关闭时钟源电源开关。

7、滤波模块

对信号起高通、带通、低通三种滤波作用,通过调节电位器FW1、FW2的阻值可以改变截止频率或中心频率,为信号提供滤波的工具。该模块可对差模输入信号进行滤波,若是

单端输入,一端输入信号而另一端接地。在对信号进行滤波时,用FW1和FW2调节滤波效果。

8、信号调节模块

主要用来对信号调节,下面左图功能是把输入信号的电平抬高,即把直流分量叠加到输入信号中,调节FW3可以改变叠加的直流分量大小。右图功能是把输入信号进行反向比例放大(包括缩小信号),FW4调节放大信号的比例。

9、逻辑笔模块

提供一个逻辑笔模块,把逻辑笔一端插入该模块插孔,另一端用来判断电平状态,符合TTL电平,即对于低电平的阀值选择为小于0.5V,绿指示灯亮来指示,对于高电平的阀值选择为大于2.4V,红指示灯亮来指示,介于二者之间的为高阻,黄指示灯亮来指示,一般悬空状态为高阻态。

10、配置模块

配置模块配置了一块Altera公司专用配置芯片EPC2,型号为EPC2LC20(EEPROM芯片,容量大小为2M位),为选配元件,关于EPC2详细资料参见附带光盘的PDF文档,该芯片主要起掉电保护作用。还有两个十针的下载接口(EPC2下载接口与核心芯片下载接口),详细的下载方法可参见附带光盘MaxPlus II 9.0学习课件(放在附带光盘实验一目录下)所介绍的相关内容。此模块的跳线CK1主要用来选择核心芯片A(EP1K30QC208)的接口电压(VCCIO),短接1、2脚为3.3V,短接2、3脚为2.5V,出厂时1、2脚短接;跳线CK2始终短接,主要为核心芯片A(EP1K30QC208)提供核心工作电压(VCCINT)2.5V。11、模式选择模块

为了全面开放I/O口,我们通过拨码开关CTRL来实现I/O口的免连线问题,模式选择模块功能说明如下图所示,拨码开关CTRL功能在实验箱上写了标识说明,在做实验时对照标识操作。如在实现免连线功能时要用到数码管可以把拨码开关的第一脚拨向ON端,表示数码管可以用来显示输出的数据,若实现全开放I/O口系统时把拨码开关所有脚设置无效,即拨码开关全部不要拨向ON。

12、模拟信号源

提供模拟信号源,模拟信号源原理图如下图所示,主要是由集成芯片MAX083产生不同的波形,可参考附带光盘中提供的MAX038芯片资料(和实验二十九源程序放在一起)。OUT为波形输出信号,通过AB1、AB2选择不同波形输出;AJ1-AJ3选择不同的频率段;IN输入不同电压值可以改变输出信号的频率,从而可以做扫频源实验。

13、4×4键盘模块

通常矩阵式键盘都是单片机控制,本模块提供的键盘模块完全用CPLD/FPGA控制,具体实现方法见相关实验内容。I/O分布原理图如下图所示:

14、开关按键模块

模块分配了I/O28-I/O43共16个I/O口,I/O分布原理图如下图所示,同时这16个I/O 口通过插孔引出。16个按键K1~K16,16个拨位开关KD1~KD16,16个发光二极管KL1~KL16。

每一个纵列的一组开关、按键、发光二极管与下载板上CPLD/FPGA的一个I/O口对应相连。如上图所示,在模式选择中选择有效时,当与I/O口相对应的开关Kdi(i=1...16)作为输入使用时,开关拨向上,开关上方的发光二极管亮,表示开关向该I/O口输入一个逻辑量为“1”的高电平,拨向下时,表示“0”。当需要用按键向I/O口输入一个短脉冲量时,首先需将开关拨向下方,按下键Ki(i=1,..16)后,发光二极管KLi亮,表示该按键Ki向对应I/O 口输入了一个正脉冲。

15、电源模块

电源模块提供了丰富的直流电源。打开交流开关引入220V交流电,正常工作时PL0指示灯亮,只有打开交流开关后,APW1、APW2直流开关才有效,打开APW1电源开关,正常工作时PL1指示灯亮,为系统提供+5V,+3.3V,+2.5V,+1.8V直流电源;打开APW2电源开关,正常工作时PL2、PL3指示灯亮,为系统提供+12V,-12V直流电源。另外+3.3V,+2.5V,+1.8V 直流电源并非固定的,可以通过PW1,PW2,PW3电位器调节成不同的电压,例如把1.8V 电源调节成1.5V或1.2V,这样使1.5V或1.2V系统成为可能,并且所有直流电源都通过插孔引出,为以后开发各种不同电源系统(尤其低电压系统)适配板和扩展板成为可能。16、分立元件模块

提供部分分立元件,有电阻、电容、热敏电阻、电位器等,主要为模拟实验提供方便。

17、喇叭模块

提供了语音输出功能,同时插孔SPEAKER引出了I/O44。

18、I/O插孔

整个实验箱的I/O口分布框图如图2所示,核心芯片A(EP1K30QC208)共有141个I/O口,为了实现所有I/O口开放功能,通过插孔引出了所有I/O口,在图2中描述了整个I/O分布的结构框图。I/O0-I/O44插孔分布已在上面各模块中已作说明,共45个;适配板B 插座分布了BI/O0-BI/O54,共55个I/O口,在主板系统上引出了7个I/O口插孔,分别为BI/O48-BI/O54,另外的BI/O0-BI/O47共48个I/O口通过扩展板(数字逻辑学习板)引出,数字逻辑学习板的介绍见本部分内容四实验箱配置说明中的扩展板说明部分;扩展板C插座分布了CI/O0-CI/O40共41个I/O口,在主板系统上引出了1个I/O口插孔为I/O40,同样所有CI/O0-CI/O40共41个也可以用扩展板(数字逻辑学习板)引出,实现了所有I/O口开放的功能。

19、核心芯片A(EP1K30QC208)

图2 主板系统I/O分布框图

EP1K30QC208芯片为ALTERA 公司ACEX1K 系列器件,其逻辑门为30000门(共有1728个LE ),片内含有6个EAB (24576bit ),支持多电压I/O 接口(+2.5V 、+3.3V 、+5V ) 芯核电压(VCCINT )为+2.5V ,I/O 口电压(VCCIO )可以连接+2.5V 或+3.3V 。关于核心芯片的I/O 口分布可见图2主板系统I/O 口分布框图,相对应核心芯片管脚分布如下页图所示。 另外核心芯片的专用输入脚输入了特定时钟值,可以用程序分频得到100MHz 以内的任意分频大小的值,从而丰富了时钟分量。若想自行开发或学习其它类型的CPLD/FPGA (包括单片机,DSP 芯片等)适配板时,核心芯片起到适配板与主板系统硬件连接的桥梁作用,实现适配板I/O 免连线与I/O 口完全开放的功能,另外为配合核心芯片的使用,我们将配一个EEPROM 芯片EPC2LC20(选配),从而进行掉电保护,所谓掉电保护是指FPGA 型芯片是RAM 存储方式,断电后程序丢失,配置EEPROM 芯片后,在加电时自动向目标芯片加入程序,不需要再下载程序,故在有意于开发或有适配板的情况下建议购买EEPROM 芯片。

4

56789012

34567

890123

45

67

89012

345678

90104M

234

20、适配板B 插座 适配板是通过主板适配板B 插座连接在一起的,共有两排80脚的插座CON1、CON2,自行设计时可参照如下图所示引脚定义,CON1的BI/O0-BI/O39通过一个40PIN 插座(CZ1)

引出,CON2通过两个40PIN插座(CZ20、CZ21)引出,具体40PIN插座引脚定义见40PIN 插座说明。另外说明的引脚定义中电源与时钟引入定义跟扩展板C插座(见扩展板C插座说明)定义完全一致,所以适配板B插座和扩展板C插座是互相兼容的,从而实现主板(基本实验系统)+适配板B(扩展板)+扩展板C(适配板)的灵活结构来构造多重复杂系统。

21、扩展板C插座

扩展板是通过主板扩展板C插座连接在一起的,共有两排80脚的插座CON3、CON4,自行设计时可参照如下图所示引脚步定义:

其中CON3的CI/O0-CI/O39通过一个40PIN插座(CZ3)引出,CON4通过两个40PIN 插座(CZ40、CZ41)引出,具体40PIN插座引脚定义见40PIN插座说明。另外说明的引脚定义中电源与时钟引入定义跟适配板B插座(见适配板B插座说明)定义完全一致,所以扩展板C插座和适配板B插座是互相兼容的,从而实现主板(基本实验系统)+适配板B(扩展板)+扩展板C(适配板)的灵活结构来构造多重复杂系统。

22、40PIN插座

主板系统中提供了6个40PIN插座(CZ1、CZ20、CZ21、CZ3、CZ40、CZ41)位置,6个40PIN引脚定义如下图所示:

由于整个主板系统已经满足到系统级设计要求,在没有超过更复杂设计时40PIN插座

没有焊上,我们仅提供升级用时的一个方便接口。比如我们开发一个上百万门系统级芯片的适配板时,I/O口的个数有五、六百个之多,用在一个实验箱中的I/O口不会超过二百个,这样的适配板浪费了三、四百个I/O口,若实验箱级联采用插孔连线方式来用这些I/O口,要求适配板有三、四百个I/O口插孔,这样适配板的大小和连线的复杂度是不能容忍的,所以我们采用40芯排线引入,这种方式为以后升级留下了很大的扩展空间。

四、实验箱配置说明

1、PC机

不同公司的芯片对应不同的软件,对PC机的要求都不同,本实验箱针对ALTERA公司ACEX1K系列EP1K30QC208芯片,要求586或以上的IBM PC微机或兼容机,内存需64MB以上,硬盘需1G以上。

2、软件

采用Max+plus II 9.0版软件,软件的安装与使用参见附带光盘的学习课件。

3、ZY11EDA13BE型实验系统主要配置

(1)主板系统

主体实验箱(必配)

液晶FM12223A(或兼容型号)、EPC2LC20芯片(选配)

(2)适配板

主板系统中包含了ALTERA公司ACEX1K系列EP1K30QC208典型芯片,可不需要数字适配板,只配一块LATTICE公司ispPAC10-01PI的模拟适配板就可以构成数模系统化实验箱,若对其它适配板有兴趣,推荐下面典型芯片的适配板。

01、ALTERA公司EP1K100QC208-2数字适配板(选配)

02、LATTICE公司ispLSI1032E-70LJ数字适配板(选配)

03、XILINX公司XC95108-15PC84C数字适配板(选配)

04、XILINX公司XCS30XL-5TQ144C数字适配板(选配)

05、LATTICE公司ispPAC10-01PI模拟适配板(推荐选配)

06、LATTICE公司ispPAC20-01JI模拟适配板(选配)

07、LATTICE公司ispPAC80-01PI模拟适配板(选配)

(3)扩展板

扩展板可以向不同专业领域发展,熟悉主板系统后可以自行开发扩展板,我们也可以定做任何适配板,目前选配五块扩展板。

1、数字逻辑学习板(推荐选配)

2、点阵扩展板(推荐选配)

3、单片机扩展板(推荐选配)

4、通信扩展板(选配)

5、语音适配板(选配)

五、选配的适配板与扩展板功能介绍及使用说明

1、LATTICE公司ispPAC10-01PI模拟适配板

该适配板使用Lattice公司PAC1.3软件,软件的安装与使用方法见附带光盘学习课件,ispPAC10实际上是四个差分运放集成在一起的集成模拟芯片,芯片相关资料见附带光盘,我们学习的就是四个运放,可编程不是通过I/O口来实现,仅是实现集成芯片运放的功能而已,只要知道芯片引脚定义和输入输出信号条件即可完成实验。凡模拟适配板都不需要用到I/O口(只用到电源,故自行设计时不用考虑I/O),都是芯片功能的体现,只要开放出芯片的各个功能引脚即可。该适配板通过插孔开放出了ispPAC10-01PI芯片的功能引脚,结合主板的分立元件模块可以完成模拟可编程实验。

2、数字逻辑学习板

主要用来学习IC(74系列,54系列等40脚以内的IC芯片)功能而设计的模块。该扩展板I/O分布图如下页图所示。

J1,J2,J3三排插座构成40脚都兼容的芯片插座,J4,J5对应着跳线,初始时J4、J5的跳线已连接好,即所有CI/O0-CI/O39与插座的IC1-IC40脚及插孔1-40都一一对应连接好,如上图所示,在学习IC芯片时相关的电源和地脚可以断开跳线,通过插孔用连接线连接到主板系统的电源和地来完成学习的目的。本扩展板用作自己学习用。在这里主要介绍通过这块扩展板开放所有I/O口的功能,如插在扩展板C插座上,即开放出了CI/O0-CI/O40,若插在适配板B插座上,即开放出了BI/O0-BI/O47,加上主板BI/O48-BI/O54插孔开放出了所有适配板B插座的I/O口。到目前为止,已介绍完核心芯片所有I/O口分布情况。

3、点阵扩展板

可完成点阵实验与交通灯实验,具体I/O口分布如下图所示,CI/O0-CI/O15(从低位到高位

共16位)为一列的数据,通过CI/O16-CI/O19(从低位到高位共4位)作为列选信号,通过74LS154分别选择每一列,每显示一个汉字需要送完16列,每列16位的数据量。CI/O20-CI/O31分别连接到交通灯的发光二极管L1-L12,送高电平发光二极管亮。

4、单片机扩展板

单片机扩展板提供VGA接口,RS232接口,PS/2键盘接口,A T89C51单片机,并完成相关接口实验。本模块可配置单片机仿真机(选配),跟主板系统配合可完成单片机系统的实验内容。具体I/O口分布如下图所示,RESET按键为单片机复位按键,RS232接口与单片机串口都可以完成串口实验,J1、J2为串口实验选择跳线引脚,跳线都连接1、2脚时,单片机串口与主板I/O口连接在一起;跳线都连接2、3脚时单片机串口与微机串口连接(通过串行线连接RS232与微机接口)在一起。其它相关接口参见实验内容。

5、通信扩展板

通信扩展板是EDA技术在通信领域的应用,主要包括HDB3编译码环节、数字调制解调环节,与主板系统配合可以完成11个相关实验。具体的实验名称是:(1)数字信号单元实验(2)码型变换实验(3)数字直接频率合成实验(4)数字调制解调实验(5)数字锁相环及位同步提取实验(6)QPSK调制解调实验(7)解扰码实验(8)帧同步信号提取实验(9)高速数字相关器设计实验(10)时分复用实验(11)差错校验实验。通信扩展板插座引脚定义见扩展板C插座。

HDB3编译码环节

数字调制解调环节

6、语音适配板

语音适配板主要提供语音输入环节、功率放大环节、滤波环节、存储环节,与主板系统配合可以完成语音输入输出实验和数字录音实验。语音适配板插座引脚定义见适配板B插座。输入环节、功率放大环节原理图见实验五十六、五十七。存储器引脚分配如下:

存储器引脚分配

六、主板系统I/O分布

VHDL实验报告

《创新实验》实验报告 —基于VHDL的编程和硬件实现

一、实验目的 1.熟悉和掌握硬件描述语言VHDL的基本语法及编写; 2.掌握软件Xilinx ISE 10.1的使用; 3.熟悉SDZ-6电子技术实验箱的使用; 4.了解节拍脉冲发生器等基本电路的实现; 5.了解八位二进制计数器的功能与设计; 6.学习键盘和七段数码管显示的控制和设计。 二、实验内容 1.Xilinx ISE 10.1软件的使用; 2.节拍脉冲发生器等基本电路的实现; 3.八位二进制计数器的实现 4.键盘扫描及显示的实现 三、实验器材 1、PC机 2、SDZ-6电子技术实验箱 3、正负5V电源 4、I/O接口线 四、软件的使用 在安装Xilinx10.1软件时,需要一个ID号,其实这个ID号是可以重复使用的,几个同学在官网注册后就可以共享ID号了。 安装完成之后就可以使用这个软件编写相应的VHDL的程序。 1.新建工程 File—>New Project 弹出下面的对话框 输入工程名后单击Next。然后根据本实验的实验箱进行以下设置。

以后的步骤一般都是单击Next(有些资料上会介绍有些这些步骤的具体功能,但对于本实验不必用到),最后单击Finish,完成新建一个工程。在窗口的左边会出现刚刚新建的工程,如下: 2.新建一个VHDL的源文件。 在上图中,右击工程选择New Source ,弹出如下对话框。

在对画框的左边选择VHDL Module,输入文件的名字(改名字最好是你定义的实体的名字)。单击Next。出现下面的对话框。 该对话框主要是对外部端口的编辑。可以直接跳过,即单击Next,在源文件上编辑端口。然后在接下来的对话框中单击Finish。完成建立一个源文件。窗口右边就会出现刚才编辑的源文件。 3.编写和编译代码 将事先编好的代码复制到源文件里,然后保存文件。 选中左边的文件名,在窗体的左边出现如下编辑文档内容。

电子技术基础实验指导书

《电子技术基础》实验指导书 电子技术课组编 信息与通信工程学院

实验一常用电子仪器的使用 一、实验类型-操作型 二、实验目的 1、学习电子电路实验中常用的电子仪器——示波器、函数信号发生器、直流稳压电源、交流毫伏表、频率计等的主要技术指标、性能及正确使用方法。 2、初步掌握用双踪示波器观察正弦信号波形和读取波形参数的方法。 三、实验原理 在模拟电子电路实验中,经常使用的电子仪器有示波器、函数信号发生器、直流稳压电源、交流毫伏表及频率计等。它们和万用电表一起,可以完成对模拟电子电路的静态和动态工作情况的测试。 实验中要对各种电子仪器进行综合使用,可按照信号流向,以连线简捷,调节顺手,观察与读数方便等原则进行合理布局,各仪器与被测实验装置之间的布局与连接如图1-1所示。接线时应注意,为防止外界干扰,各仪器的共公接地端应连接在一起,称共地。信号源和交流毫伏表的引线通常用屏蔽线或专用电缆线,示波器接线使用专用电缆线,直流电源的接线用普通导线。

图1-1 模拟电子电路中常用电子仪器布局图 1、示波器 示波器是一种用途很广的电子测量仪器,它既能直接显示电信号的波形,又能对电信号进行各种参数的测量。现着重指出下列几点: 1)、寻找扫描光迹 将示波器Y轴显示方式置“Y1”或“Y2”,输入耦合方式置“GND”,开机预热后,若在显示屏上不出现光点和扫描基线,可按下列操作去找到扫描线:①适当调节亮度旋钮。②触发方式开关置“自动”。③适当调节垂直()、水平()“位移”旋钮,使扫描光迹位于屏幕中央。(若示波器设有“寻迹”按键,可按下“寻迹”按键,判断光迹偏移基线的方向。) 2)、双踪示波器一般有五种显示方式,即“Y1”、“Y2”、“Y1+Y2”三种单踪显示方式和“交替”“断续”二种双踪显示方式。“交替”显示一般适宜于输入信号频率较高时使用。“断续”显示一般适宜于输入信号频率较低时使用。 3)、为了显示稳定的被测信号波形,“触发源选择”开关一般选为“内”触发,使扫描触发信号取自示波器内部的Y通道。 4)、触发方式开关通常先置于“自动”调出波形后,若被显示的波形不稳定,可置触发方式开关于“常态”,通过调节“触发电平”旋钮找到合适的触发电压,使被测试的波形稳定地显示在示波器屏幕上。 有时,由于选择了较慢的扫描速率,显示屏上将会出现闪烁的光迹,但被

测试技术与实验方法 柳昌庆 第十三章课后参考答案

13-4有一应变式测力传感器,弹性元件为实心圆柱,直径D=40mm 。在圆柱轴向 和周向各贴两片应变片(灵敏度系数s=2.),组成差动全桥电路,供桥电压为10v 。 设材料弹性模量E=2.1?1011 pa ,泊松比υ=0.3。试求测力传感器的灵敏度(该灵敏度用μv/kN 表示)。 解:设受压缩 F,轴向贴的应变片 R S R R ξ=?=?31 横向贴的应变片:SR R R μξ=?=?43 设原电阻 4321R R R R === ,则受力F 后: 11R R R ?-= , 33R R R ?-=, 22R R R ?-= , 44R R R ?-= 电桥输出电压变化: x U R R R R R R R R U ) )((43214 231+-= ?

x x x U R R R U R R R R R U R R R R R R 22)(2 1212 12 212 1?+?- ≈?+?-?+?- =?++?-?+?- = x U S U U 2 )1(ξ +- =?∴ E r F E r F A F 22πσξπσ?=?=?=?= ?∴ 代入上式 x U E r F S U U 22)1(π?+-=?∴ 测力传感器灵敏度 E r SU U F U K x 22)1(π+=??= ) (101.2)(02.0) (1022)3.01(11 22Pa m V ?????+= π 又因为: 218.91002.11m N Pa ??=- 所以: ) (8.9102.1101.202.0) (103.11112N V K ??????? =-π N V 10102.32103.1-???= N V 91089.41-?= KN V μ4189.0= 13-5在一受拉弯综合作用的构件上贴有四个电阻应变片。试分析各应变片感受的应变,将其值填写在应变表中。并分析如何组桥才能进行下述测试:(1) 只测弯矩,消除拉应力的影响;(2) 只测拉力,消除弯矩的影响。电桥输出各为多少? 组桥如图。

测试技术试验指导书

《机械工程测试技术》实验指导书 编者:郑华文刘畅 昆明理工大学机电学院实验中心 2014年5月

说明和评分 1学生按照实验预约表进行实验;在实验前,需对理论教学中相关内容做做复习并对实验指导书进行预习,熟悉实验内容和要求后才能进入实验室进行实验。在实验中,不允许大声喧哗和进行与实验不相关的事情。 2进入实验室后,应遵守实验室守则,学生自己应发挥主动性和独立性,按小组进行实验,在操作时应对实验仪器和设备的使用方法有所了解,避免盲目操作引起设备损坏,在动手操作时,应注意观察和记录。 3根据内容和要求进行试验,应掌握开关及的顺序和步骤:1)不允许带负荷开机。输出设备不允许有短路,输入设备量程处于最大,输出设备衰减应处于较小。2)在实验系统上电以后,实验模块和实验箱,接入或拔出元件,不允许带电操作,在插拔前要确认不带电,插接完成后,才对实验模块和试验箱上电。3)试验箱上元件的插拔所用连线,在插拔式用手拿住插头插拔,不允许直接拉线插拔。4)实验中,按组进行试验,实验元件也需按组取用,不允许几组混用元件和设备。 4在实验过程中,在计算机上,按组建立相关实验文件,实验中的过程、数据、图表和实验结果,按组记录后,各位同学拷贝实验相关数据文件等,在实验报告中应有反应。对实验中的现象和数据进行观察和记录。 实验评分标准: 1)实验成绩评分按实验实作和实验报告综合评分:实验实作以学生在实验室中完成实验表现和实验结果记录文件评定,评定为合格和不合格;实验报告成绩:按照学生完成实验报告的要求,对实验现象的观察、思考和实验结果的分析等情况评定成绩。初评百分制评定。 2)综合实验成绩评定按百分制。

VHDL实验报告03137

VHDL实验报告 60 庄炜旭实验三. 4位可逆计数器,4位可逆二进制代码-格雷码转换器设计 一.实验目的 学习时序电路的设计,仿真和硬件测试,进一步熟悉VHDL设计技术 1. 学习4位可逆计数器的设计 2. 学习4位可逆二进制代码-格雷码转换器设计 二.实验内容 设计4位可逆计数器,及4位可逆二进制代码-格雷码转换器,并仿真,下载。 [具体要求] 1.4位可逆计数器 a)使用CLOCK_50作为输入时钟,其频率为50MHz(对于频率大于50Hz的闪烁, 人眼会看到连续的光),因而,对其进行225的分频后,再用于时钟控制。(可 利用实验一) b)使用拨码开关SW17作为模式控制,置‘1’时为加法计数器,置‘0’时为减 法计数器,同时使用LEDR17显示SW17的值。 c)使用KEY3作为异步复位开关(按下时为0,不按为1),当为加法计数器时, 置“0000”,当为减法计数器时,置“1111”。 d)使用LEDR3,LEDR2,LEDR1,LEDR0作为转换后的输出结果显示,LEDR3为高 位,LEDR0为低位。 2.4位可逆二进制代码――格雷码转换器 a)使用拨码开关SW17作为模式控制,置‘1’时为二进制代码―>格雷码转换, 置‘0’时为格雷码―>二进制代码,同时使用LEDR17显示SW17的值。 b)使用拨码开关SW3, SW2, SW1, SW0作为输入的被转换数,SW3为高位,SW0 为低位。 c)使用LEDR3,LEDR2,LEDR1,LEDR0作为转换后的输出结果显示,LEDR3为高 位,LEDR0为低位。 三.管脚设定 SW[0]PIN_N25 SW[1]PIN_N26 SW[2]PIN_P25 SW[3] PIN_AE14 SW[17] PIN_V2 LEDR[0] PIN_AE23 LEDR[1] PIN_AF23 LEDR[2] PIN_AB21 LEDR[3] PIN_AC22 LEDR[17] PIN_AD12 KEY[3] PIN_W26

电子技术实验指导书

实验一常用电子仪器的使用方法 一、实验目的 了解示波器、音频信号发生器、交流数字毫伏表、直流稳压电源、数字万用电表的使用方法。二实验学时 2 学时 三、实验仪器及实验设备 1、GOS-620 系列示波器 2、YDS996A函数信号发生器 3、数字交流毫伏表 4、直流稳压电源 5、数字万用电表 四、实验仪器简介 1、示波器 阴极射线示波器(简称示波器)是利用阴极射线示波管将电信号转换成肉眼能直接观察的随时间变化的图像的电子仪器。示波器通常由垂直系统、水平系统和示波管电路等部分组成。垂直系统将被测信号放大后送到示波管的垂直偏转板,使光点在垂直方向上随被测信号的幅度变化而移动;水平系统用作产生时基信号的锯齿波,经水平放大器放大后送至示波管水平偏转板,使光点沿水平方向匀速移动。这样就能在示波管上显示被测信号的波形。 2、YDS996A函数信号发生器通常也叫信号发生器。它通常是指频率从0.6Hz至1MHz的正弦波、方波、三角波、脉冲波、锯齿波,具有直流电平调节、占空比调节,其频率可以数字直接显示。适用于音频、机械、化工、电工、电子、医学、土木建筑等各个领域的科研单位、工厂、学校、实验室等。 3、交流数字毫伏表 该表适用于测量正弦波电压的有效值。它的电路结构一般包括放大器、衰减器(分压器)、检波器、指示器(表头)及电源等几个部分。该表的优点是输入阻抗高、量程广、频率范围宽、过载能力强等。该表可用来对无线电接收机、放大器和其它电子设备的电路进行测量。 4、直流稳压电源: 它是一种通用电源设备。它为各种电子设备提供所需要的稳定的直流电压或电流当电网电压、负载、环境等在一定范围内变化时,稳压电源输出的电压或电流维持相对稳定。这样可以使电子设备或电路的性能稳定不变。直流电源通常由变压、整流、滤波、调整控制四部分组成。有些电源还具有过压、过流等保护电路,以防止工作失常时损坏器件。 6、计频器 GFC-8010H是一台高输入灵敏度20mVrms,测量范围0.1Hz至120MHz的综合计频器,具备简洁、高性能、高分辨率和高稳定性的特点。 5、仪器与实验电路的相互关系及主要用途:

软件测试技术实验指导书2016版

《软件测试技术》实验指导书 吴鸿韬

河北工业大学计算机科学与软件学院 2016年9月 目录

第一章实验要求 (1) 第二章白盒测试实践 (3) 第三章黑盒测试实践 (6) 第四章自动化单元测试实践 (7) 第五章自动化功能测试实践 (35) 第六章自动化性能测试实践 (56) 附录1实验报告封皮参考模版 (71) 附录2小组实验报告封皮参考模版 (72) 附录3软件测试计划参考模版 (73) 附录4 测试用例参考模版 (77) 附录5单元测试检查表参考模版 (81) 附录6测试报告参考模版 (82) 附录7软件测试分析报告参考模版 (87)

第一章实验要求 一、实验意义和目的 软件测试是软件工程专业的一门重要的专业课,本课程教学目的是通过实际的测试实验,使学生系统地理解软件测试的基本概念和基本理论,掌握软件测试和软件测试过程的基本方法和基本工具,熟练掌握软件测试的流程、会设计测试用例、书写测试报告,为学生将来从事实际软件测试工作和进一步深入研究打下坚实的理论基础和实践基础。 本实验指导书共设计了2个设计型、3个验证型实验和一个综合型实验,如表1所示。设计型实验包括白盒测试实践和黑盒测试实践,验证型实验包括自动化单元测试实践、自动化功能测试和自动化性能测试实践,主要目标是注重培养学生软件测试的实际动手能力,增强软件工程项目的质量管理意识。通过实践教学,使学生掌握软件测试的方法和技术,并能运用测试工具软件进行自动化测试。综合型实验以《软件设计与编程实践》课程相关实验题目为原型、在开发过程中进行测试设计与分析,实现软件开发过程中的测试管理,完成应用软件的测试工作,提高软件测试技能,进一步培养综合分析问题和解决问题的能力。 表1 实验内容安排 实验内容学时实验性质实验要求 实验一白盒测试实践 4 设计必做 实验二黑盒测试实践 4 设计必做 实验三自动化单元测试实践 4 验证必做 实验四自动化功能测试实践 4 验证必做 实验五自动化性能测试实践 4 验证必做 实验六、综合测试实践课外综合选做 二、实验环境 NUnit、JUnit、LoadRunner、Quick Test Professional、VC6.0、Visual

数字电子技术实验指导书

数字电子技术实验指导书 (韶关学院自动化专业用) 自动化系 2014年1月10日 实验室:信工405

数字电子技术实验必读本实验指导书是根据本科教学大纲安排的,共计14学时。第一个实验为基础性实验,第二和第七个实验为设计性实验,其余为综合性实验。本实验采取一人一组,实验以班级为单位统一安排。 1.学生在每次实验前应认真预习,用自己的语言简要的写明实验目的、实验原理,编写预习报告,了解实验内容、仪器性能、使用方法以及注意事项等,同时画好必要的记录表格,以备实验时作原始记录。教师要检查学生的预习情况,未预习者不得进行实验。 2.学生上实验课不得迟到,对迟到者,教师可酌情停止其实验。 3.非本次实验用的仪器设备,未经老师许可不得任意动用。 4.实验时应听从教师指导。实验线路应简洁合理,线路接好后应反复检查,确认无误时才接通电源。 5.数据记录 记录实验的原始数据,实验期间当场提交。拒绝抄袭。 6.实验结束时,不要立即拆线,应先对实验记录进行仔细查阅,看看有无遗漏和错误,再提请指导教师查阅同意,然后才能拆线。 7.实验结束后,须将导线、仪器设备等整理好,恢复原位,并将原始数据填入正式表格中,经指导教师签名后,才能离开实验室。

目录实验1 TTL基本逻辑门功能测试 实验2 组合逻辑电路的设计 实验3 译码器及其应用 实验4 数码管显示电路及应用 实验5 数据选择器及其应用 实验6 同步时序逻辑电路分析 实验7 计数器及其应用

实验1 TTL基本逻辑门功能测试 一、实验目的 1、熟悉数字电路试验箱各部分电路的基本功能和使用方法 2、熟悉TTL集成逻辑门电路实验芯片的外形和引脚排列 3、掌握实验芯片门电路的逻辑功能 二、实验设备及材料 数字逻辑电路实验箱,集成芯片74LS00(四2输入与非门)、74LS04(六反相器)、74LS08(四2输入与门)、74LS10(三3输入与非门)、74LS20(二4输入与非门)和导线若干。 三、实验原理 1、数字电路基本逻辑单元的工作原理 数字电路工作过程是数字信号,而数字信号是一种在时间和数量上不连续的信号。 (1)反映事物逻辑关系的变量称为逻辑变量,通常用“0”和“1”两个基本符号表示两个对立的离散状态,反映电路上的高电平和低电平,称为二值信息。(2)数字电路中的二极管有导通和截止两种对立工作状态。三极管有饱和、截止两种对立的工作状态。它们都工作在开、关状态,分别用“1”和“0”来表示导通和断开的情况。 (3)在数字电路中,以逻辑代数作为数学工具,采用逻辑分析和设计的方法来研究电路输入状态和输出状态之间的逻辑关系,而不必关心具体的大小。 2、TTL集成与非门电路的逻辑功能的测试 TTL集成与非门是数字电路中广泛使用的一种逻辑门。实验采用二4输入与非门74LS20芯片,其内部有2个互相独立的与非门,每个与非门有4个输入端和1个输出端。74LS20芯片引脚排列和逻辑符号如图2-1所示。

测试技术实验指导书及实验报告2006级用汇总

矿压测试技术实验指导书 学号: 班级: 姓名: 安徽理工大学 能源与安全学院采矿工程实验室

实验一常用矿山压力仪器原理及使用方法 第一部分观测岩层移动的部分仪器 ☆深基点钻孔多点位移计 一、结构简介 深基点钻孔多点位移计是监测巷道在掘进和受采动影响的整个服务期间,围岩内部变形随时间变化情况的一种仪器。 深基点钻孔多点位移包括孔内固定装置、孔中连接钢丝绳、孔口测读装置组成。每套位移计内有5~6个测点。其结构及其安装如图1所示。 二、安装方法 1.在巷道两帮及顶板各钻出φ32的钻孔。 2.将带有连接钢丝绳的孔内固定装置,由远及近分别用安装圆管将其推至所要求的深度。(每个钻孔布置5~6个测点,分别为;6m、5m、4m、3m、2m、lm或12m、10m、8m、6m、4m、2m)。 3.将孔口测读装置,用水泥药圈或木条固定在孔口。 4。拉紧每个测点的钢丝绳,将孔口测读装置上的测尺推至l00mm左右的位置后,由螺丝将钢丝绳与测尺固定在一起。 三、测试方法 安装后先读出每个测点的初读数,以后每次读得的数值与初读数之差,即为测点的位移值。当读数将到零刻度时,松开螺丝,使测尺再回到l00mm左右的位置,重新读出初读数。 ☆顶板离层指示仪 一、结构简介: 顶板离层指示仪是监测顶板锚杆范围内及锚固范围外离层值大小的一种监测仪器,在顶板钻孔中布置两个测点,一个在围岩深部稳定处,一个在锚杆端部围岩中。离层值就是围岩中两测点之间以及锚杆端部围岩与巷道顶板表面间的相对位移值。顶板离层指示仪由孔内固定装置、测量钢丝绳及孔口显示装置组成如图1所示。

二、安装方法: 1.在巷道顶板钻出φ32的钻孔,孔深由要求而定。 2.将带有长钢丝绳的孔内固定装置用安装杆推到所要求的位置;抽出安装杆后再将带有短钢丝绳的孔内固定装置推到所要求的位置。 3.将孔口显示装置用木条固定在孔口(在显示装置与钻孔间要留有钢丝绳运动的间隙)。 4.将钢丝绳拉紧后,用螺丝将其分别与孔口显示装置中的圆管相连接,且使其显示读数超过零刻度线。 三、测读方法: 孔口测读装置上所显示的颜色,反映出顶板离层的范围及所处状态,显示数值表示顶板的离层量。☆DY—82型顶板动态仪 一、用途 DY-82型顶板动态仪是一种机械式高灵敏位移计。用于监测顶底板移近量、移近速度,进行采场“初次来压”和“周期来压”的预报,探测超前支撑压力高 峰位置,监测顶板活动及其它相对位移的测量。 二、技术特征 (1)灵敏度(mm) 0.01 (2)精度(%) 粗读±1,微读±2.5 (3)量程(mm) 0~200 (4)使用高度(mm) 1000~3000 三、原理、结构 其结构和安装见图。仪器的核心部件是齿条6、指针8 以及与指针相连的齿轮、微读数刻线盘9、齿条下端带有读 数横刻线的游标和粗读数刻度管11。 当动态仪安装在顶底板之间时,依靠压力弹簧7产生的 弹力而站立。安好后记下读数(初读数)并由手表读出时间。 粗读数由游标10的横刻线在刻度管11上的位置读出,每小 格2毫米,每大格(标有“1”、“22'’等)为10毫米,微读数 由指针8在刻线盘9的位置读出,每小格为0.01毫米(共200 小格,对应2毫米)。粗读数加微读数即为此时刻的读数。当 顶底板移近时,通过压杆3压缩压力弹簧7,推动齿条6下 移,带动齿轮,齿轮带动指针8顺时针方向旋转,顶底板每 移近0.01毫米,指针转过1小格;同时齿条下端游标随齿条 下移,读数增大。后次读数减去前次读数,即为这段时间内的顶底板移近量。除以经过的时间,即得

基于VHDL语言的EDA实验报告(附源码)

EDA 实验报告 ——多功能电子钟 姓名:张红义 班级:10级电科五班 学号:1008101143 指导老师:贾树恒

电子钟包括:主控模块,计时模块,闹钟模块,辅控模块,显示模块,蜂鸣器模块,分频器模块。 1.主控模块: 主要功能:控制整个系统,输出现在的状态,以及按键信息。 源代码: libraryieee; use ieee.std_logic_1164.all; useieee.std_logic_arith.all; useieee.std_logic_unsigned.all; entity mc is port(functionswitch,k,set,lightkey: in std_logic; chose21,setout: out std_logic; lightswitch:bufferstd_logic; modeout,kmodeout : out std_logic_vector(1 downto 0); setcs,setcm,setch,setas,setam,setah:outstd_logic); end mc; architecture work of mc is signalmode,kmode:std_logic_vector(1 downto 0); signal light,chose21buf:std_logic; signalsetcount:std_logic_vector(5 downto 0); begin process(functionswitch,k,set,lightkey) begin iffunctionswitch'event and functionswitch='1' then mode<=mode+'1'; end if; iflightkey'event and lightkey='1' then lightswitch<=not lightswitch; end if; if mode="01" thenchose21buf<='0'; else chose21buf<='1'; end if; ifk'event and k='1' then if mode="01" or mode="11" then kmode<=kmode+'1'; end if;end if; if set='1' then if mode = "01" then ifkmode="01" then setcount<="000001"; elsifkmode="10" thensetcount<="000010"; elsifkmode="11" then setcount<="000100";

传感器与自动检测技术实验指导书

传感器与自动检测技术实验指导书 张毅李学勤编著 重庆邮电学院自动化学院 2004年9月

目录 C S Y-2000型传感器系统实验仪介绍 (1) 实验一金属箔式应变片测力实验(单臂单桥) (3) 实验二金属箔式应变片测力实验(交流全桥) (6) 实验三差动式电容传感器实验 (9) 实验四热敏电阻测温实验 (12) 实验五差动变压器性能测试 (14) 实验六霍尔传感器的特性研究 (17) 实验七光纤位移传感器实验 (21)

CSY-2000型传感器系统实验仪介绍 本仪器是专为《传感器与自动检测技术》课程的实验而设计的,系统包括差动变压器、电涡流位移传感器、霍尔式传感器、热电偶、电容式传感器、热敏电阻、光纤传感器、压阻式压力传感器、压电加速度计、压变式传感器、PN结温度传感器、磁电式传感器等传感器件,以及低频振荡器、音频震荡器、差动放大器、相敏检波器、移相器、低通滤波器、涡流变换器等信号和变换器件,可根据需要自行组织大量的相关实验。 为了更好地使用本仪器,必须对实验中使用涉及到的传感器、处理电路、激励源有一定了解,并对仪器本身结构、功能有明确认识,做到心中有数。 在仪器使用过程中有以下注意事项: 1、必须在确保接线正确无误后才能开启电源。 2、迭插式插头使用中应注意避免拉扯,防止插头折断。 3、对从各电源、振荡器引出的线应特别注意,防止它们通过机壳造成短路,并 禁止将这些引出线到处乱插,否则很可能引起一起损坏。 4、使用激振器时注意低频振荡器的激励信号不要开得太大,尤其是在梁的自振 频率附近,以免梁振幅过大或发生共振,引起损坏。 5、尽管各电路单元都有保护措施,但也应避免长时间的短路。 6、仪器使用完毕后,应将双平行梁用附件支撑好,并将实验台上不用的附件撤 去。 7、本仪器如作为稳压电源使用时,±15V和0~±10V两组电源的输出电流之和 不能超过1.5A,否则内部保护电路将起作用,电源将不再稳定。 8、音频振荡器接小于100Ω的低阻负载时,应从LV插口输出,不能从另外两个 电压输出插口输出。

VHDL实验报告

专用集成电路实验报告 13050Z01 1305024237 刘德文

实验一开发平台软件安装与认知实验 实验内容 1、本实验以三线八线译码器(LS74138)为例,在Xilinx ISE 9.2软件平台上完成设计 电路的VHDL文本输入、语法检查、编译、仿真、管脚分配和编程下载等操作。下载芯片选择Xilinx公司的CoolRunner II系列XC2C256-7PQ208作为目标仿真芯片。 2、用1中所设计的的三线八线译码器(LS74138)生成一个LS74138元件,在Xilinx ISE 9.2软件原理图设计平台上完成LS74138元件的调用,用原理图的方法设计三线八线译 码器(LS74138),实现编译,仿真,管脚分配和编程下载等操作。 源程序: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; -- Uncomment the following lines to use the declarations that are -- provided for instantiating Xilinx primitive components. --library UNISIM; --use UNISIM.VComponents.all; entity ls74138 is Port ( g1 : in std_logic; g2 : in std_logic; inp : in std_logic_vector(2 downto 0); y : out std_logic_vector(7 downto 0)); end ls74138; architecture Behavioral of ls74138 is begin process(g1,g2,inp) begin if((g1 and g2)='1') then case inp is when "000"=>y<="00000001"; when "001"=>y<="00000010";

15电力电子实验指导书

《电力电子技术》 实 验 指 导 书

实验一锯齿波同步移相触发电路实验 一、实验目的 (1)加深理解锯齿波同步移相触发电路的工作原理及各元件的作用。 (2)掌握锯齿波同步移相触发电路的调试方法。 二、实验所需挂件及附件 三、实验线路及原理 锯齿波同步移相触发电路的原理图参见挂件说明。锯齿波同步移相触发电路由同步检测、锯齿波形成、移相控制、脉冲形成、脉冲放大等环节组成,其工作原理可参见挂件说明和电力电子技术教材中的相关内容。 四、实验内容 (1)锯齿波同步移相触发电路的调试。 (2)锯齿波同步移相触发电路各点波形的观察和分析。 五、预习要求 (1)阅读电力电子技术教材中有关锯齿波同步移相触发电路的内容,弄清锯齿波同步移相触发电路的工作原理。 (2)掌握锯齿波同步移相触发电路脉冲初始相位的调整方法。 六、思考题 (1)锯齿波同步移相触发电路有哪些特点? (2)锯齿波同步移相触发电路的移相范围与哪些参数有关? (3)为什么锯齿波同步移相触发电路的脉冲移相范围比正弦波同步移相触发电路的移相范围要大? 七、实验方法 (1)将DJK01电源控制屏的电源选择开关打到“直流调速”侧,使输出线电压为200V(不能打到“交流调速”侧工作,因为DJK03-1的正常工作电源电压为

220V 10%,而“交流调速”侧输出的线电压为240V。如果输入电压超出其标准工作范围,挂件的使用寿命将减少,甚至会导致挂件的损坏。在“DZSZ-1型电机及自动控制实验装置”上使用时,通过操作控制屏左侧的自藕调压器,将输出的线电压调到220V左右,然后才能将电源接入挂件),用两根导线将200V交流电压接到DJK03-1的“外接220V”端,按下“启动”按钮,打开DJK03-1电源开关,这时挂件中所有的触发电路都开始工作,用双踪示波器观察锯齿波同步触发电路各观察孔的电压波形。 ①同时观察同步电压和“1”点的电压波形,了解“1”点波形形成的原因。 ②观察“1”、“2”点的电压波形,了解锯齿波宽度和“1”点电压波形的关系。 ③调节电位器RP1,观测“2”点锯齿波斜率的变化。 ④观察“3”~“6”点电压波形和输出电压的波形,记下各波形的幅值与宽 度,并比较“3”点电压U 3和“6”点电压U 6 的对应关系。 (2)调节触发脉冲的移相范围 将控制电压U ct 调至零(将电位器RP2顺时针旋到底),用示波器观察同步电压 信号和“6”点U 6的波形,调节偏移电压U b (即调RP3电位器),使α=170°,其波 形如图2-1所示。 图2-1锯齿波同步移相触发电路 (3)调节U ct (即电位器RP2)使α=60°,观察并记录U 1 ~U 6 及输出“G、K” 脉冲电压的波形,标出其幅值与宽度,并记录在下表中(可在示波器上直接读出,读数时应将示波器的“V/DIV”和“t/DIV”微调旋钮旋到校准位置)。 (4)

电气测试技术-实验指导书

电气测试技术 实 验 指 导 书 河北科技师范学院 机械电子系电气工程教研室 二00六年十月

实验台组成及技术指标 CSY2000系列传感器与检测技术实验台由主控台、三源板(温度源、转动源、振动源)、15个(基本型)传感器和相应的实验模板、数据采集卡及处理软件、实验台桌六部分组成。 1、主控台部分:提供高稳定的±15V、+5V、±2V~±1OV可调、+2V~+24V可调四种直流稳压电源;主控台面板上还装有电压、频率、转速的3位半数显表。音频信号源(音频振荡器)0.4KHz~10KHz可调);低频信号源(低频振荡器)1Hz~3OHz(可调);气压源0~15kpa可调;高精度温度控制仪表(控制精度±0.5℃);RS232计算机串行接口;流量计。 2、三源板:装有振动台1Hz~3OHz(可调);旋转源0~2400转/分(可调);加热源<200℃(可调)。 3、传感器:基本型传感器包括:电阻应变式传感器、扩散硅压力传感器、差动变压器、电容式传感器、霍尔式位移传感器、霍尔式转速传感器、磁电转速传感器、压电式传感器、电涡流位移传感器、光纤位移传感器、光电转速传感器、集成温度传感器、K型热电偶、E型热电偶、Pt10O 铂电阻,共十五个。 4、实验模块部分:普通型有应变式、压力、差动变压器、电容式、霍尔式、压电式、电涡流、光纤位移、温度、移相/相敏检波/滤波十个模块。 5、数据采集卡及处理软件:数据采集卡采用12位A/D转换、采样速度1500点/秒,采样速度可以选择,既可单采样亦能连续采样。标准RS-232接口,与计算机串行工作。提供的处理软件有良好的计算机显示界面,可以进行实验项目选择与编辑,数据采集,特性曲线的分析、比较、文件存取、打印等。 6、实验台桌尺寸为160O×8OO×280(mm),实验台桌上预留计算机及示波器安放位置。 注意事项: 1、迭插式接线应尽量避免拉扯,以防折断。 2、注意不要将从各电源、信号发生器引出的线对地(⊥)短路。 3、梁的振幅不要过大,以免引起损坏。 4、各处理电路虽有短路保护,但避免长时间短路。 5、最好为本仪器配备一台超低频双线示波器,最高频率≥1MHz,灵敏度不低于 2mV/cm。 6、 0.4~10KHZ信号发生器接低阻负载(小于100Ω),必须从L V接口引出。

vhdl实验报告--蜂鸣器

VHDL 实验报告 一、实验目的 1、掌握蜂鸣器的使用; 2、通过复杂实验,进一步加深对VHDL语言的掌握程度。 二、实验原理乐曲都是由一连串的音符组成,因此按照乐曲的乐谱依次输出这些音符所对应的频率,就可以在蜂鸣器上连续地发出各个音符的音调。而要准确地演奏出一首乐曲,仅仅让蜂鸣器能够发声是不够的,还必须准确地控制乐曲的节奏,即每个音符的持续时间。由此可见,乐曲中每个音符的发音频率及其持续的时间是乐曲能够连续演奏的两个关键因素。 乐曲的12 平均率规定:每2 个八度音(如简谱中的中音1 与高音1)之间的频率相差1 倍。在2个八度音之间,又可分为12个半音。另外,音符A(简谱中的低音6)的频率为440Hz, 音符B到C之间、E到F之间为半音,其余为全音。由此可以计算出简谱中从低音I至高音1 之间每个音符的频率,如表所示。 音名频率/Hz 音名频率/Hz 音名频率/Hz 低音1 中音1 高音1 低音2 中音2 高音2 低音3 中音3 高音3 低音4 中音4 高音4 低音5 392 中音5 784 高音5 1568 低音6 440 中音6 880 高音6 1760 低音7 中音7 高音7 表简谱音名与频率的对应关系 产生各音符所需的频率可用一分频器实现, 由于各音符对应的频率多为非整数, 而分频系数又不能为小数, 故必须将计算得到的分频数四舍五入取整。若分频器时钟频率过低, 则由于分频系数过小, 四舍五入取整后的误差较大;若时钟频率过高,虽然误差变小,但分频数将变大。实际的设计应综合考虑两方面的因素, 在尽量减小频率误差的前提下取合适的时钟频率。实际上,只要各个音符间的相对频率关系不变,演奏出的乐曲听起来都不会走调。 音符的持续时间须根据乐曲的速度及每个音符的节拍数来确定。因此, 要控制音符的音 长,就必须知道乐曲的速度和每个音符所对应的节拍数, 本例所演奏的乐曲的最短的音符为四分音符,如果将全音符的持续时间设为1s 的话,那么一拍所应该持续的时间为秒,则只需要提供一个4HZ的时钟频率即可产生四分音符的时长。 本例设计的音乐电子琴选取40MHZ的系统时钟频率。在数控分频器模块,首先对时钟频率进行40分频,得到1MHZ的输入频率,然后再次分频得到各音符的频率。由于数控分频器 输出的波形是脉宽极窄的脉冲波, 为了更好的驱动蜂鸣器发声, 在到达蜂鸣器之前需要均衡占空比, 从而生成各音符对应频率的对称方波输出。这个过程实际上进行了一次二分频, 频率变为原来的二分之一即。 因此,分频系数的计算可以按照下面的方法进行。以中音1为例,对应的频率值为 523. 3Hz,它的分频系数应该为: 0.375MHZ 0.375 106 716 523.3 523.3

《电子技术实验1》实验指导书

实验一仪器使用 一、实验目的 1.明确函数信号发生器、直流稳压稳流电源和交流电压表的用途。 2.明确上述仪器面板上各旋钮的作用,学会正确的使用方法。 3.学习用示波器观察交流信号波形和测量电压、周期的方法。 二、实验仪器 8112C函数信号发生器一台 DF1731SC2A可调式直流稳压稳流电源一台 DF2170B交流电压表一台 双踪示波器一台 三、实验内容 1.调节8112C函数信号发生器输出1KHZ、100mV的正弦波信号,将操

2.将信号发生器输出的信号接入交流电压表测量,配合调节函数信号发生器的“MAPLITUDE POWER”旋钮,使其输出为100mV。 3.将上述信号接入双踪示波器测量其信号电压的峰峰值和周期值,并将操作方法填入下表。

四、实验总结 1、整理实验记录、分析实验结果及存在问题等。 五、预习要求 1.对照附录的示意图和说明,熟悉仪器各旋钮的作用。 2.写出下列预习思考题答案: (1)当用示波器进行定量测量时,时基扫描微调旋钮和垂直微调旋钮应处在什么位置?

(2)某一正弦波,其峰峰值在示波器屏幕上占垂直刻度为5格,一个周期占水平刻度为2格,垂直灵敏度选择旋钮置0.2V/div档,时基扫速选择旋钮置0.1mS/div档,探头衰减用×1,问被测信号的有效值和频率为多少?如何用器其他仪器进行验证?

附录一:8112C函数信号发生器 1.用途 (1)输出基本信号为正弦波、方波、三角波、脉冲波、锯齿波。输出幅值从5mv~20v,频率范围从0.1HZ~2MHZ。 (2)作为频率计数器使用,测频范围从10HZ~50MHZ,最大允许输入为30Vrms。 2.面板说明

测试技术实验指导书(2017年04)

《机械工程测试技术基础》 实验指导书 戴新编 广州大学 2017.4

前言 测试技术顾名思义是测量和试验的技术。测试技术学习的最终目的是要解决实际问题,所以和理论课程相比,测试技术的实践环节显得更为关键。《机械工程测试技术实验》旨在提高学生综合应用从各门课程中学到的单元技术知识,独立构建、调试测试系统的能力,强化学生对测试系统工程实际的感性认识。它综合体现了各种单元技术在测试工程实际中的应用,是测试专业的学生接触工程实际的开始。 测试技术覆盖了很多知识领域,从测试信号的基本概念到现代测试信号分析方法,从传感器的基本原理到一个复杂大型的测试系统的建立,但在实际中,无法在一门课程里囊括所有这些知识和经验。本指导书根据目前实验室现有的实验条件及教学计划中的学时数,紧密结合理论教学,选择了一些重要的基本内容,实验主要为验证性实验,采用传统的实验模式,由实验教师指导学生完成实验。 通过实验,希望能够使学生牢固、熟练地掌握各种测试仪器的使用,学会调试测试系统的基本方法,包括传感器的使用,信号调理电路、数字化电路及显示单元的调试,在此基础上初步学会自行组建测试系统,并能够独立调试。 具体内容应包括:a.常用测试仪器的使用:在传感器使用及系统组建、调试的过程掌握示波器、数字万用表、信号发生器、稳压电源等的使用。b.传感器的使用:熟悉热电偶传感器、加速度传感器、液位传感器、转速传感器等原理及使用。c.常见物理量测试实验:温度测试实验、转速测试实验、液位测试实验、振动测试实验。由于条件限制,以上的实验内容还只能部分涉及。 实验完成后按要求应提交实验报告。实验报告是一种工程技术文件,是实验研究的产物。学生完成教学实验写出的报告,会为将来进行工程实验、科学研究书写实验报告打下基础,乃至于养成一种习惯,因此应按工程实际要求学生:内容如实,数据可靠;语言明确、简洁;书写工整、规范。实验报告的基本内容应包括实验题目、实验目的、实验仪器和设备(必要时画出连接图)、实验方法、实验结果(包括图表、数字、文字、表达式等)、对实验方法或结

测试技术实验指导书

测试技术实验 指导书 赵爱琼编 付俊庆审 长沙理工大学测控教研室 07 年3 月

前言 测试技术是一门实践非常强的技术基础课,通过实验,了解测试系统中各环节(包括传感器、信号变换与放大、仪表显示与记录装置、实验数据的计算机分析与处理)的作用与特点,加深同学们对测试技术基本内容和基本概念的理解。 本实验指导书适用于交通运输、机电、机制、测控、自控、车辆工程,汽车服务工程、电子信息等专业的测试技术课、检测与传感器技术课、传感器与自动检测课、传感器原理及应用等课的实验。各专业可根据课时的需要适当取舍,要求同学们在实验中要动脑动手,以达到提高实验动手能力的目的。 本实验指导书由赵爱琼老师编写,付俊庆教授审稿,并经测控教研室全体老师讨论定稿 由于编写仓促,水平有限,书中缺点错误在所难免,恳请读者批评指正 测控教研室 07年3月

目录 实验一霍尔传感器特性实验 实验二电涡流传感器特性实验 实验三电容传感器特性实验 实验四压电式传感器特性实验与振动实验 实验五电阻应变片及电桥性能实验 实验六动应力测量 实验七振动测量 实验八应变式传感器测量系统的设计 附一:CSY——2000系列传感器与检测技术实验台组成附二:实验报告格式与要求

霍尔传感器特性实验 一、实验目的: 1、掌握霍尔传感器的工作原理及特性 2、掌握霍尔传感器的静态标定方法 3、了解霍尔传感器在振幅测量中的应用 二、实验器材: 1、CSY-2000传感器与检测技术实验台,其中所取单元:霍尔传感器实验 模板、霍尔传感器、直流源±4v、±15v、测微头、数显单元、低频振 荡器 2、电子示波器、工控机数据采集系统 三、实验原理: 根据霍尔效应,霍尔电势U=KIBsinα。若保持霍尔元件的激励电流I不变,而使其在一均匀梯度磁场中移动时,则输出霍尔电势值U只决定于它在磁场B中的位移量。本实验即通过对U大小的测量来得其位移。 四、实验内容及步骤: 1、将霍尔传感器按图1安装。霍尔传感器与实验模板的连接见图2进行。1、3为电源±4v, 2、4为输出 图1

vhdl实验报告

福建农林大学计算机与信息学院 信息工程类 实验报告 2013年11 月13 日

实验项目列表

福建农林大学计算机与信息学院信息工程类实验报告 系:电子信息工程系专业:电子信息工程年级: 2010级 姓名:学号:实验课程: VHDL数字系统设计 实验室号:__ 田C407 实验设备号: 07 实验时间: 11.12 指导教师签字:成绩: 实验一数控分频器的设计 1.实验目的和要求 学习数控分频器的设计、分析和测试方法。 2.实验原理 信号有不同的分频比,数控分频器就是用计数值可并行预置的加法计数器设计完成的,方法是将计数溢出位与预置数加载输入信号相接即可,详细设计程序如例1所示。 数控分频器的仿真波形如图1所示:输入不同的CLK频率和预置值D,给出如图1的时序波形。 100.0μs200.0μs300.0μs400.0μs 图1 当给出不同输入值D时,FOUT输出不同频率(CLK周期=50ns) 3.主要仪器设备(实验用的软硬件环境) 实验的硬件环境是: 微机一台 GW48 EDA实验开发系统一套 电源线一根 十芯JTAG口线一根 USB下载线一根 USB下载器一个 示波器 实验的软件环境是: Quartus II 9.0软件

4.操作方法与实验步骤 (1)创建工程,并命名位test。 (2)打开QuartusII,建立VHDL文件,并输入设计程序。保存为DVF. (3)选择目标器件。Acex1k—EP1K100QC208-3。 (4)启动编译。 (5)建立仿真波形图。 (6)仿真测试和波形分析。 (7)引脚锁定编译。 (8)编程下载。 (9)硬件测试 5.实验内容及实验数据记录 在实验系统上硬件验证例5-20的功能。可选实验电路模式1(第一章图4);键2/键1负责输入8位预置数D(PIO7-PIO0);CLK由clock0输入,频率选65536Hz 或更高(确保分频后落在音频范围);输出FOUT接扬声器(SPKER)。编译下载后进行硬件测试:改变键2/键1的输入值,可听到不同音调的声音。 6.实验数据处理与分析 1)实验代码 【例1】 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY DVF IS PORT ( CLK : IN STD_LOGIC; D : IN STD_LOGIC_VECTOR(7 DOWNTO 0); FOUT : OUT STD_LOGIC ); END; ARCHITECTURE one OF DVF IS SIGNAL FULL : STD_LOGIC; BEGIN P_REG: PROCESS(CLK) VARIABLE CNT8 : STD_LOGIC_VECTOR(7 DOWNTO 0); BEGIN IF CLK'EVENT AND CLK = '1' THEN IF CNT8 = "11111111" THEN CNT8 := D; --当CNT8计数计满时,输入数据D被同步预置给计数器CNT8 FULL <= '1'; --同时使溢出标志信号FULL输出为高电平 ELSE CNT8 := CNT8 + 1; --否则继续作加1计数 FULL <= '0'; --且输出溢出标志信号FULL为低电平 END IF; END IF; END PROCESS P_REG ; P_DIV: PROCESS(FULL) VARIABLE CNT2 : STD_LOGIC; BEGIN IF FULL'EVENT AND FULL = '1' THEN CNT2 := NOT CNT2; --如果溢出标志信号FULL为高电平,D触发器输出取反

相关主题
文本预览
相关文档 最新文档