当前位置:文档之家› PCB基础知识培训_布局布线_可生产性设计

PCB基础知识培训_布局布线_可生产性设计

PCB基础知识培训_布局布线_可生产性设计
PCB基础知识培训_布局布线_可生产性设计

PCB培训——基础篇

PCB的相关介绍 (1)

PCB布局布线的注意事项 (1)

PCB制板和生产的注意事项 (14)

PCB的相关介绍

PCB布局布线的注意事项

PCB走线宽度与铜箔厚度、走线宽度的关系如下图所示:保守考虑,PCB布线时一般

采用20mil载流0.5A的方法来设计线宽。

焊盘走线引出的方式:

测试点的连接:

相邻走线层的走线要正交走线,即使不能正交走线,斜交也比平行走线要好:

避免走线开环:

避免信号不同层之间形成自环,自环将引起辐射干扰:

走线分支长度的控制:

走线长度越短越好,尤其是高频信号要注意:

走线不能是锐角或者直角,需要走135度角或者直线:

电源和地的环路尽量小;电源和地的管脚,尽量不要共用过孔。

为了防止电源线较长时,电源线上的耦合噪声直接进入负载器件,应在进入每个器件之

前,先对电源去耦,且为了防止它们彼此间的相互干扰,对每个负载的电源独立去耦,并做到先滤波再进入负载

高速信号的特性阻抗必须连续:同层的走线,其宽度必须连续;不同层的走线阻抗必须

连续。

地的连接:分为3种,如下图所示:

1MHz一下可考虑单点接地,大部分情况下均是采用多点接地。地管脚的连接需要注意,Trace尽可能宽,必要时可用铜箔;Trace尽可能短;多路连接效果更好。如下图所示:

走线宽度不能超过焊盘宽度。一般芯片或者排阻相邻管脚不能采用直连的方式。

避免T型走线。

3W规则:为了减少走线之间的串扰,应加大线距。当线中心距不小于3倍线宽时,可

保持70%的电场不互相干扰,这就是3W规则。如果要达到98%的电场不互相干扰,可使用10W的间距。没有线距要求且板上空间宽松,走线时请时刻谨记并贯彻执行3W规则。

20H规则:电源层和地层之间的电场是变化的,在板边缘会向外辐射电磁干扰,称为边

沿效应。因此需要将电源层内缩,使得电场只在接地层的范围内传到。假设电源层和地层之间的厚度为H,内缩20H可以将70%的电场限制在接地层边沿内;内缩100H,则可以将98%的电场限制在接地层边沿内。

如果有子系统的分割,如模数的分割,也应参考此规则。

映像平面以及返回路径:映像平面就是我们常说的参考平面。映像平面的主要作用是在

为高频电流提供一个低阻抗的回路。每个信号都需要一条信号回路,信号回路总是选择最低阻抗的路径。这样,信号电流和回路就组成了一个环形天线,这个环形天线的面积越大则辐射越大。因此要降低辐射,就要减小回路面积。通常信号最低阻抗回路就在信号正下方的参考层沿着信号相反方向返回。这条返回路径如果和原电流完全平行,那么回路面积是最小的,但是在映像平面上,经常会有元件孔或者过孔,如果不注意,就容易造成返回路径要绕道而行,如下图所示:

要避免类似情况,有一些地方需要注意。

在封装设计时,元件孔的anti-pad大小要考虑好,如圆孔的话,anti-pad的直径要小于管脚间的中心距,为使参考层的铜箔能在元件下方延续(比如铜箔宽度大于4mil),那么anti-pad 的直径要比管脚间的中心距小至少4mil。

在走线中,过孔的放置方式也要考虑参考层的连续性。

在一些设计方案中,还会对BGA下方使用的过孔的参数进行限制,以保证信号的返回路径没有被切断,如下图所示:

Stitching vias/caps:缝合过孔与缝合电容。如果不能避免信号跨层或者换层,那么可以

考虑添加一些缝合过孔或者缝合电容,为返回电流提供一个短的路径。

1.Stitching vias:用于连接两个一样的参考层(如VSS到VSS),放置时要求尽可能

靠近信号换层过孔。Stitching via为信号提供一个短的返回路径。下面所说的距离均指过孔中心距。当一对差分信号换层时,需要放置一个stitching via,比如CPU 时钟信号;当单根信号换层时,需要放置一个stitching via,比如一根单端的时钟信号;当3根单端信号换层时,需要放置一个stitching via,比如一组地址总线;

当在DIMM区域使用stitching vias时,DIMM connector的电源和地过孔不能被当作stitching vias。

2.Stitching caps:用于连接两个不同的参考层(如VSS到PWR),放置时要求尽可能

靠近信号换层过孔。Stitching cap为信号提供一个短的返回路径。下面所说的距离均指信号所跨分割的中心到缝合电容的PCB焊盘边缘的距离。当一对差分信号换层时,需要放置一个stitching cap,比如CPU时钟信号;当4根差分对信号换层时,需要放置一个stitching cap,其位置在4对差分信号的正中间;当单根信号换层时,需要放置一个stitching cap,比如一根单端的时钟信号;当3根单端信号换层时,需要放置一个stitching cap,比如一组地址总线。

3.下面是一些添加stitching vias/caps的例子。

1)换参考层(相同net):当信号由于换层导致参考层变化(参考层网络相同,比

如同是VSS)时,使用缝合过孔连接两个参考层,为信号提供一条短的返回

路径。

2)换参考层(不同net)——相同层:使用1个0.1uF或者0.01uF的0402或者

更小封装的缝合电容。该电容距离走线跨层的分割不能超过1.27mm(50mil)。

换参考层(不同net)——不同层:当信号由于换层导致参考层变化时,使用1个0.1uF或者0.01uF的0402或者更小封装的缝合电容。该电容应尽可能靠近换层处。

时钟信号:时钟线是对EMC 影响最大的因素之一。在时钟线上应少打孔,尽量避免和

其他信号并行走线,且应远离一般信号线,避免对信号线的干扰。同时应避开板上的电源模块,避免干扰。应尽量避免靠近输出接口,防止高频时钟耦合到输出的cable 线上并沿线发射出去。时钟芯片下方各层均不可走线,其下方顶层铺铜接地,底层一般铺设时钟芯片电源的铜箔。对于简单的单、双层板,由于没有电源层和地层,时钟走线可参考下图:

晶体下方不应走线,在其下方铺铜接地,如果晶体是金属外壳,应将其外壳接地。如果

没有特别指明,晶体走线长度不应超过1inch。晶体走线应远离其他信号,最好能用GND 进行隔离。晶体应远离板边缘、IO接口、热源、电源等噪声大的区域。

差分信号:差分信号的走线关键点就是等长等距。下图是差分信号走线的要求。等距这

个要求,除了走线主区域外,管脚或者过孔出来的区域也要特别注意,尽可能减小不等距的长度。差分信号对之间间距至少20mil,即使中间有包地,也要满足20mil的间距要求。一般有多余空间的情况下,可将间距加大到50mil。差分组内线长匹配时,应在不匹配的一端进行补偿,不要在走线中间进行补偿。差分走线还要注意对称,差分对组内过孔尽量靠近,线宽也要注意,满足阻抗要求。

蛇形线:为满足时序规范要求,一些走线必须使用蛇形线来控制线长,以满足需要的建

立和保持时间。一般要走蛇形线的信号,design guide中一般会给出具体的蛇形线自身线距。如果没有特别说明,蛇形线自身线距要满足3W、3H要求。W是指蛇形线的线宽,H是指蛇形线到其参考平面的高度。对于差分信号的蛇形线要求,请参考差分信号中的图示要求。蛇形线仅是时序方面的要求,如果没有此要求,不能走蛇形线。不满足蛇形线的自身线距要求,往往容易导致错误的飞行时间(flight time),如下图所示:

ESD:ESD器件应尽量靠近接口放置,走线时应注意串联在走线中。

GND Guard Trace/Shape:对一些特殊信号进行包地处理,如R、G、B、V、H信号等

等。包地时注意每隔一段距离要打孔连接到内层GND,这些孔要彼此错开,避免参考平面不连续。如果没有特别说明,一般R、G、B、V、H信号的包地线每隔500~750mil 打孔接地,其他信号一般500~1000mil打孔接地。对于一些关键信号,有特殊阻抗要求的,不能包地,因为包地的Trace或者铜箔会引起信号线的阻抗变化,如USB。GND Guard Trace的最主要目的就是强制加大信号线距。GND Guard Trace的线宽以及与信号的线距,如果没有特别说明,可以使用5mil:5mil。使用GND Guard Trace/Shape,要注意在每段开始和结束的地方通过GND过孔进行端接,避免成为天线。

BUS走线:一般的BUS有FSB、内存、PCI、IDE等等。有些BUS内部也有划分,比

如内存,有时钟、数据、命令、控制信号等等。类似的BUS走线,一般会要求同组信号同层走线。不同的BUS之间,有一定的线距要求,如果没有特别指明,一般要求这个BUS间距至少20mil。而时钟和USB的信号,一般会要求线距在50mil以上。

Chassis GND:在后接口下方各走线层铺设铜箔连接各接口的GND管脚,并且每隔

500mil打孔连接各层。

关于铜箔:

1.增加电感与铜箔的连接数。注意软件bug,通过trace增加连接数后,如果对shape

有任何操作(会refresh shape),会丢失部分连接。

2.铜箔距离太近,一般建议使用20mil间距。

3.铜箔的thermal relief不能设置太大,否则焊盘与大片铜箔全部连在一起不利于焊

接。不管SMT pin还是Through pin,都不能使用full connect,焊接时热量极易传到铜箔上,影响焊接温度。

4.铜箔上的过孔不能太密集,大概500mil的间距就可以了。如果走线空间不够,过

孔必须密集放置,最好能错开放置这些过孔,以免破坏内层VCC/GND的完整性。

5.大面积铜箔请采用网格铜,如硬盘下方空白区域。

6.空白区域铺铜接地,但是尽量不要连接通孔GND管脚,较小或者可能出现较多碎

铜的铜箔则不要考虑。

7.外层1~2根细走线附近需要铺铜接地,避免单根走线蚀刻太多。

8.注意铜箔的瓶颈处是否满足电流要求,走线层要注意,VCC层的plane也不能忽略。

9.内层的铜箔应该与anti-etch的外沿重合。

10.注意铜箔的边角,避免直角shape,尤其是边角处有焊盘时,避免出现out of date

shape。

11.一般是使用动态铜箔,能够自动避让。但是在铺设铜箔后,要检查铜箔的连续性、

是否出现一些无用的铜箔区域、从而成为天线。

12.大面积的铜箔要避免,焊接后印制板易翘曲,并且部分被走线分割的铜箔,因为没

有在两端进行端接(如通过过孔连接到内层plane),会变成天线。

13.BGA中间的GND管脚,集中在一起,可用铜箔进行连接,并且通过大量过孔(至

少每管脚配1个过孔)连接到内层GND plane。如果没有特殊要求,BGA与铜箔的连接线宽采用8mil。

14.中间有热焊盘的器件,如果内层走线层有空间,可在热焊盘下方铺铜并通过过孔连

接该热焊盘,扩大散热面积。

信号完整性指的是在高速产品中由互连线引起的所有问题。这些问题分为以下3种影响

和后果:时序、噪声(振铃、反射、近端串扰、开关噪声、非单调性、地弹、衰减、容

性负载等)和电磁干扰(EMI )。广义的信号完整性,包括经典的信号完整性(SI )、数据完整性(DI )、电源完整性(PI )、电磁完整性(EMI )四个领域。

电磁兼容性(EMC ):由于电磁干扰的原因,工作在规定的电磁环境安全范围内的电气和电子的系统、装置和设备,他们的设计水平或者性能上没有造成不可接受的下降,这种能力就是电磁兼容性。

PCB 制板和生产的注意事项

板外框需要倒2mm 半径的圆角。因为如果是直角的板外框,在运输过程中,直角容易戳坏真空包装。

注意添加TTL/RS232跳线的说明、清CMOS 的管脚标识等等。这些丝印,不要放在内存下方,内存插入后看不到的,建议放置在跳线器附近。

调试测试点:方便硬件调试使用,在原理图中添加,布局布板放置时,需靠近对应电源模块放置,且需要考虑调试时候操作的方便性,一般在顶层放置,不能放在四周都是直插件的地方。在原理图中一般位号为TP*的就是调试测试点。

针床测试点:方便工厂调试段进行电压的自动测试,一般在底层放置,针床测试点会在原理图中添加。

回流焊与波峰焊:

1. 回流焊也就是我们常说的表面贴装技术,即SMT (Surface Mount Technology )。SMT

的工艺流程有很多种,我司采用的主要有以下几种:

元件面或焊

接面: 焊接面: 元件面

焊接面:

2. 波峰焊是指将熔化的焊料,经电动泵或电磁泵喷流成设计要求的焊料波峰,使预先

装有元器件的印刷板通过焊料波峰,实现元器件焊端或引脚与印制板焊盘之间机械与电气连接的软钎焊。

pcb布局布线技巧经验大汇总

PCB电路板布局、布线基本原则 一、元件布局基本规则 1. 按电路模块进行布局,实现同一功能的相关电路称为一个模块,电路模块中的元件应采用就近集中原则,同时数字电路和模拟电路分开; 2.定位孔、标准孔等非安装孔周围1.27mm 内不得贴装元、器件,螺钉等安装孔周围 3.5mm (对于M2.5)、4mm(对于M3)内不得贴装元器件; 3. 卧装电阻、电感(插件)、电解电容等元件的下方避免布过孔,以免波峰焊后过孔与元件壳体短路; 4. 元器件的外侧距板边的距离为5mm; 5. 贴装元件焊盘的外侧与相邻插装元件的外侧距离大于2mm; 6. 金属壳体元器件和金属件(屏蔽盒等)不能与其它元器件相碰,不能紧贴印制线、焊盘,其间距应大于2mm。定位孔、紧固件安装孔、椭圆孔及板中其它方孔外侧距板边的尺寸大于3mm; 7. 发热元件不能紧邻导线和热敏元件;高热器件要均衡分布; 8. 电源插座要尽量布置在印制板的四周,电源插座与其相连的汇流条接线端应布置在同侧。特别应注意不要把电源插座及其它焊接连接器布置在连接器之间,以利于这些插座、连接器的焊接及电源线缆设计和扎线。电源插座及焊接连接器的布置间距应考虑方便电源插头的插拔; 9. 其它元器件的布置: 所有IC元件单边对齐,有极性元件极性标示明确,同一印制板上极性标示不得多于两个方向,出现两个方向时,两个方向互相垂直; 10、板面布线应疏密得当,当疏密差别太大时应以网状铜箔填充,网格大于8mil(或0.2mm); 11、贴片焊盘上不能有通孔,以免焊膏流失造成元件虚焊。重要信号线不准从插座脚间穿过; 12、贴片单边对齐,字符方向一致,封装方向一致; 13、有极性的器件在以同一板上的极性标示方向尽量保持一致。 二、元件布线规则 1、画定布线区域距PCB板边≤1mm的区域内,以及安装孔周围1mm内,禁止布线; 2、电源线尽可能的宽,不应低于18mil;信号线宽不应低于12mil;cpu入出线不应低于10mil (或8mil);线间距不低于10mil; 3、正常过孔不低于30mil; 4、双列直插:焊盘60mil,孔径40mil; 1/4W电阻:51*55mil(0805表贴);直插时焊盘62mil,孔径42mil; 无极电容:51*55mil(0805表贴);直插时焊盘50mil,孔径28mil; 5、注意电源线与地线应尽可能呈放射状,以及信号线不能出现回环走线

电子工程师PCB设计基础知识

电子工程师PCB设计基础知识 PCB于1936年诞生,美国于1943年将该技术大量使用于军用收音机内;自20世纪50年代中期起,PCB技术开始被广泛采用。目前,PCB已然成为“电子产品之母”,其应用几乎渗透于电子产业的各个终端领域中,包括计算机、通信、消费电子、工业控制、医疗仪器、国防军工、航天航空等诸多领域。 说了这么多,那么你知道PCB是如何设计出来的呢?立创电子小编告诉你: 1、前期准备 包括准备元件库和原理图。在进行PCB设计之前,首先要准备好原理图SCH元件库和PCB元件封装库。 PCB元件封装库最好是工程师根据所选器件的标准尺寸资料建立。原则上先建立PC的元件封装库,再建立原理图SCH元件库。 PCB元件封装库要求较高,它直接影响PCB的安装;原理图SCH元件库要求相对宽松,但要注意定义好管脚属性和与PCB元件封装库的对应关系。 2、PCB结构设计 根据已经确定的电路板尺寸和各项机械定位,在PCB设计环境下绘制PCB板框,并按定位要求放置所需的接插件、按键/开关、螺丝孔、装配孔等等。 充分考虑和确定布线区域和非布线区域(如螺丝孔周围多大范围属于非布线区域)。 3、PCB布局设计 布局设计即是在PCB板框内按照设计要求摆放器件。在原理图工具中生成网络表(Design→Create Netlist),之后在PCB软件中导入网络表(Design→Import Netlist)。网络表导入成功后会存在于软件后台,通过Placement操作可以将所有器件调出、各管脚之间有飞线提示连接,这时就可以对器件进行布局设计了。 PCB布局设计是PCB整个设计流程中的首个重要工序,越复杂的PCB 板,布局的好坏越能直接影响到后期布线的实现难易程度。 布局设计依靠电路板设计师的电路基础功底与设计经验丰富程度,对电路板设计师属于较高级别的要求。初级电路板设计师经验尚浅、适合小模块布局设计或整板难度较低的PCB布局设计任务。 4、PCB布线设计 PCB布线设计是整个PCB设计中工作量最大的工序,直接影响着PCB

手机PCB-布局及布线方案

手机PCB LAYOUT 目的: A. 是为PCB设计者提供必须遵循的规则和约定。 B. 提高PCB设计质量和设计效率。提高PCB·的可生产性、可测试、可维护性 手机PCB设计最大的特点: 集成度高,集成了ABB,DBB,JPEG和PMU 给Layout 带来: “217Hz”noise 问题;电源,数字和模拟部分的相互干扰问题;更复杂的EMI/EMC问题; 第一节:设计任务受理 A PCB设计申请流程当硬件项目人员需要进行PCB设计时,须在《PCB设计投板申请表》中提出投板 申请,并经其项目经理和计划处批准后,流程状态到达指定的PCB设计部门审批,此时硬件项目人员须准备好以下资料: ●经过评审的,完全正确的原理图,包括纸面文件和电子件; ●带有MRPII元件编码的正式的BOM; ●PCB结构图,应标明外形尺寸、安装孔大小及定位尺寸、接插件定位尺寸、禁止布线区等相关尺寸; ●对于新器件,即无MRPII编码的器件,需要提供封装资料; ●以上资料经指定的PCB设计部门审批合格并指定PCB设计者后方可开始PCB设计。 B. 理解设计要求并制定设计计划 ●仔细审读原理图,理解电路的工作条件。如模拟电路的工作频率,数字电路的工作速度等与布线要求 相关的要素。理解电路的基本功能、在系统中的作用等相关问题。 ●在与原理图设计者充分交流的基础上,确认板上的关键网络,如电源、时钟、高速总线等,了解其布 线要求。理解板上的高速器件及其布线要求。 ●根据《硬件原理图设计规范》的要求,对原理图进行规范性审查。 ●对于原理图中不符合硬件原理图设计规范的地方,要明确指出,并积极协助原理图设计者进行修改。 ●在与原理图设计者交流的基础上制定出单板的PCB设计计划,填写设计记录表,计划要包含设计过程 中原理图输入、布局完成、布线完成、信号完整性分析、光绘完成等关键检查点的时间要求。设计计划应由PCB设计者和原理图设计者双方签字认可。 ●必要时,设计计划应征得上级主管的批准。 第二节:设计过程 A. 创建网络表 ●网络表是原理图与PCB的接口文件,PCB设计人员应根据所用的原理图和PCB设计工具的特性,选 用正确的网络表格式,创建符合要求的网络表。 ●创建网络表的过程中,应根据原理图设计工具的特性,积极协助原理图设计者排除错误。保证网络表

PCB布线的常见规则

PCB布线的常见规则 1 电源、地线的处理 既使在整个PCB板中的布线完成得都很好,但由于电源、 地线的考虑不周到而引起的干扰,会使产品的性能 下降,有时甚至影响到产品的成功率。所以对电、 地线的布线要认真对待,把电、地线所产生的噪音干扰降到最低限度,以保证 产品的质量。 对每个从事电子产品设计的工程人员来说都明白地线与电源线之间噪音所产生的原因, 现只对降低式抑制噪音作 以表述: 众所周知的是在电源、地线之间加上去耦电容。 尽量加宽电源、地线宽度,最好是地线比电源线宽,它们的关系是: 地线>电源线>信号线,通常信号线宽为:0.2~0.3mm,最经细宽度可达0.05~0.07mm,电源线为1.2~2.5 mm 对数字电路的PCB可 用宽的地导线组成一个回路, 即构成一个地网来使用(模拟电路的地不能这样使用) 用大面积铜层作地线用,在印制板上把没被用上 的地方都与地相连接作为地线用。或是做成多层板, 电源,地线各占用一层。 2、数字电路与模拟电路的共地处理 现在有许多PCB不再是单一功能电路(数字或模拟电路),而是由数字电路和模拟电路混合 构成的。因此在布线时就需要考虑它们之间互相干扰问题,特别是地线上的噪音干扰。 数字电路的频率高,模拟电路的敏感度 强,对信号线来说,高频的信号线尽可能远离敏感的模拟电路器件,对地线来说,整人PCB 对外界只有一个结点,所以必须在PCB 内部进行处理数、模共地的问题,而在板内部数字地和模拟地实际上是分开的它们之间互不相连,只是在PCB与外界连接的接口 处(如插头等)。数字地与模拟地有一点短接,请注意,只有一个连接点。也有在PCB上不共地的,这由系统设计来决定。 3、信号线布在电(地)层上 在多层印制板布线时,由于在信号线层没有布完的线剩下已经不多,再多加层数就会造成浪费也会 给生产增加一定的工作量,成本也相应增加了,为解决这个矛盾,可以考虑在电(地)层上进行布线。首先应考虑用电源层,其 次才是地层。因为最好是保留地层的完整性。 4、大面积导体中连接腿的处理 在大面积的接地(电)中,常用元器件的腿与其连接,对连接腿的处理需要进行综合的考虑,就 电气性能而言,元件腿的焊盘与铜面满接为好,但对元件的焊接装配就存在一些不良隐患如:①焊接需要大功率加热器。②容易 造成虚焊点。所以兼顾电气性能与工艺需要,做成十字花焊盘,称之为热隔离(heat shield)俗称热焊盘(Thermal),这样, 可使在焊接时因截面过分散热而产生虚焊点的可能性大大减少。多层板的接电(地)层腿的处理相同。

PCB基础知识培训_布局布线_可生产性设计

PCB培训——基础篇 PCB的相关介绍 (1) PCB布局布线的注意事项 (1) PCB制板和生产的注意事项 (14) PCB的相关介绍 PCB布局布线的注意事项 PCB走线宽度与铜箔厚度、走线宽度的关系如下图所示:保守考虑,PCB布线时一般 采用20mil载流0.5A的方法来设计线宽。 焊盘走线引出的方式: 测试点的连接:

相邻走线层的走线要正交走线,即使不能正交走线,斜交也比平行走线要好: 避免走线开环: 避免信号不同层之间形成自环,自环将引起辐射干扰: 走线分支长度的控制: 走线长度越短越好,尤其是高频信号要注意:

走线不能是锐角或者直角,需要走135度角或者直线: 电源和地的环路尽量小;电源和地的管脚,尽量不要共用过孔。 为了防止电源线较长时,电源线上的耦合噪声直接进入负载器件,应在进入每个器件之 前,先对电源去耦,且为了防止它们彼此间的相互干扰,对每个负载的电源独立去耦,并做到先滤波再进入负载

高速信号的特性阻抗必须连续:同层的走线,其宽度必须连续;不同层的走线阻抗必须 连续。 地的连接:分为3种,如下图所示: 1MHz一下可考虑单点接地,大部分情况下均是采用多点接地。地管脚的连接需要注意,Trace尽可能宽,必要时可用铜箔;Trace尽可能短;多路连接效果更好。如下图所示: 走线宽度不能超过焊盘宽度。一般芯片或者排阻相邻管脚不能采用直连的方式。 避免T型走线。

3W规则:为了减少走线之间的串扰,应加大线距。当线中心距不小于3倍线宽时,可 保持70%的电场不互相干扰,这就是3W规则。如果要达到98%的电场不互相干扰,可使用10W的间距。没有线距要求且板上空间宽松,走线时请时刻谨记并贯彻执行3W规则。 20H规则:电源层和地层之间的电场是变化的,在板边缘会向外辐射电磁干扰,称为边 沿效应。因此需要将电源层内缩,使得电场只在接地层的范围内传到。假设电源层和地层之间的厚度为H,内缩20H可以将70%的电场限制在接地层边沿内;内缩100H,则可以将98%的电场限制在接地层边沿内。 如果有子系统的分割,如模数的分割,也应参考此规则。 映像平面以及返回路径:映像平面就是我们常说的参考平面。映像平面的主要作用是在 为高频电流提供一个低阻抗的回路。每个信号都需要一条信号回路,信号回路总是选择最低阻抗的路径。这样,信号电流和回路就组成了一个环形天线,这个环形天线的面积越大则辐射越大。因此要降低辐射,就要减小回路面积。通常信号最低阻抗回路就在信号正下方的参考层沿着信号相反方向返回。这条返回路径如果和原电流完全平行,那么回路面积是最小的,但是在映像平面上,经常会有元件孔或者过孔,如果不注意,就容易造成返回路径要绕道而行,如下图所示:

ASIC设计cadence自动布局布线工具_图文(精)

本节将使用综合工具(Design Compiler 对一个 8位全加器逻辑综合,并产生一个门级网表;利用该网表使用自动布局布线工具(Silicon Ensemble 生成一个全加器的版图。 首先输入 8位全加器 verilog 代码: module adder8(Cout,S,A,Cin; output Cout; output [7:0]S; input [7:0]A; input [7:0]B; input Cin; reg [8:0]SUM; reg [7:0]S; reg Cout; wire [7:0]A,B; always @(Aor B or Cin begin SUM [8:0]=A+B+Cin; S =SUM [7:0]; Cout =SUM [8];

end endmodule 打开综合工具 DC (psyn_gui& File->Read..

读入代码

File->Setup..设置 3 个相关工艺库将带红色 *号的 3

个库设置如下图 Design->CompileDesign.. 编译 Schematic->NewDesign Schematic View.. 可以看到综合后的顶层结构通过双击 C1模块还可以看到全加器的门级结构 为了后面自动布局布线的需要, 这里我们要将这个综合结果保存为 adder8_nl.v 门级网表。 在 psyn_gui-xg-t> 后输入如下命令 下面进行自动布局布线 (一下有路径出现的地方要特别注意打开 Silicon Ensemble (sedsm & File->Import->LEF… 导入库的转换格式 注意此文件的路径! File->Import->Verilog… 导入工艺库(此库为 verilog 描述的标准单元,包含各种延时信息

PCBLayout布局布线基本规则

布局: 1、顾客指定器件位置是否摆放正确 2、BGA与其它元器件间距是否≥5mm 3、PLCC、QFP、SOP各自之间和相互之间间距是否≥2.5 mm 4、PLCC、QFP、SOP与Chip 、SOT之间间距是否≥1.5 mm 5、Chip、SOT各自之间和相互之间的间距是否≥0.3mm 6、PLCC表面贴转接插座与其它元器件的间距是否≥3 mm 7、压接插座周围5mm范围内是否有其他器件 8、Bottom层元器件高度是否≤3mm 9、模块相同的器件是否摆放一致 10、元器件是否100%调用 11、是否按照原理图信号的流向进行布局,调试插座是否放置在板边 12、数字、模拟、高速、低速部分是否分区布局,并考虑数字地、模拟地划分 13、电源的布局是否合理、核电压电源是否靠近芯片放置 14、电源的布局是否考虑电源层的分割、滤波电容的组合放置等因素 15、锁相环电源、REF电源、模拟电源的放置和滤波电容的放置是否合理 16、元器件的电源脚是否有0.01uF~0.1uF的电容进行去耦 17、晶振、时钟分配器、VCXO\TCXO周边器件、时钟端接电阻等的布局是否合理 18、数字部分的布局是否考虑到拓扑结构、总线要求等因素 19、数字部分源端、末端匹配电阻的布局是否合理 20、模拟部分、敏感元器件的布局是否合理 21、环路滤波器电路、VCO电路、AD、DA等布局是否合理 22、UART\USB\Ethernet\T1\E1等接口及保护、隔离电路布局是否合理 23、射频部分布局是否遵循“就近接地”原则、输入输出阻抗匹配要求等 24、模拟、数字、射频分区部分跨接的回流电阻、电容、磁珠放置是否合理 外形制作: 1、外形尺寸是否正确? 2、外形尺寸标注是否正确? 3、板边是否倒圆角≥1.0mm 4、定位孔位置与大小是否正确 5、禁止区域是否正确 6、Routkeep in距板边是否≥0.5mm 7、非金属定位孔禁止布线是否0.3mm以上 8、顾客指定的结构是否制作正确 规则设置: 1、叠层设置是否正确? 2、是否进行class设置 3、所有线宽是否满足阻抗要求? 4、最小线宽是否≧5mil 5、线、小过孔、焊盘之间间距是否≥6mil,线到大过孔是否≥10mil

PCB设计基础知识

PCB设计基础知识 印刷电路板(Printed circuit board,PCB)几乎会出现在每一种电子设备当中。如果在某样设备中有电子零件,那么它们也都是镶在大小各异的PCB上。除了固定各种小零件外,PCB的主要功能是提供上头各项零件的相互电气连接。随着电子设备越来越复杂,需要的零件越来越多,PCB上头的线路与零件也越来越密集了。标准的PCB长得就像这样。裸板(上头没有零件)也常被称为「印刷线路板Printed Wiring Board (PWB)」。 板子本身的基板是由绝缘隔热、并不易弯曲的材质所制作成。在表面可以看到的细小线路材料是铜箔,原本铜箔是覆盖在整个板子上的,而在制造过程中部份被蚀刻处理掉,留下来的部份就变成网状的细小线路了。这些线路被称作导线(conductor pattern)或称布线,并用来提供PCB上零件的电路连接。 为了将零件固定在PCB上面,我们将它们的接脚直接焊在布线上。在最基本的PCB(单面板)上,零件都集中在其中一面,导线则都集中在另一面。这么一来我们就需要在板子上打洞,这样接脚才能穿过板子到另一面,所以零件的接脚是焊在另一面上的。因为如此,PCB的正反面分别被称为零件面(Component Si de)与焊接面(Solder Side)。 如果PCB上头有某些零件,需要在制作完成后也可以拿掉或装回去,那么该零件安装时会用到插座(Soc ket)。由于插座是直接焊在板子上的,零件可以任意的拆装。下面看到的是ZIF(Zero Insertion Force,零拨插力式)插座,它可以让零件(这里指的是CPU)可以轻松插进插座,也可以拆下来。插座旁的固定杆,可以在您插进零件后将其固定。 如果要将两块PCB相互连结,一般我们都会用到俗称「金手指」的边接头(edge connector)。金手指上包含了许多裸露的铜垫,这些铜垫事实上也是PCB布线的一部份。通常连接时,我们将其中一片PCB上的金手指插进另一片PCB上合适的插槽上(一般叫做扩充槽Slot)。在计算机中,像是显示卡,声卡或是其它类似的界面卡,都是借着金手指来与主机板连接的。 PCB上的绿色或是棕色,是阻焊漆(solder mask)的颜色。这层是绝缘的防护层,可以保护铜线,也可以防止零件被焊到不正确的地方。在阻焊层上另外会印刷上一层丝网印刷面(silk screen)。通常在这上面会印上文字与符号(大多是白色的),以标示出各零件在板子上的位置。丝网印刷面也被称作图标面(legen d)。 单面板(Single-Sided Boards) 我们刚刚提到过,在最基本的PCB上,零件集中在其中一面,导线则集中在另一面上。因为导线只出现在其中一面,所以我们就称这种PCB叫作单面板(Single-sided)。因为单面板在设计线路上有许多严格的限制(因为只有一面,布线间不能交叉而必须绕独自的路径),所以只有早期的电路才使用这类的板子。 双面板(Double-Sided Boards) 这种电路板的两面都有布线。不过要用上两面的导线,必须要在两面间有适当的电路连接才行。这种电路间的「桥梁」叫做导孔(via)。导孔是在PCB上,充满或涂上金属的小洞,它可以与两面的导线相连接。因为双面板的面积比单面板大了一倍,而且因为布线可以互相交错(可以绕到另一面),它更适合用在比单面板更复杂的电路上。 多层板(Multi-Layer Boards) 为了增加可以布线的面积,多层板用上了更多单或双面的布线板。多层板使用数片双面板,并在每层板间放进一层绝缘层后黏牢(压合)。板子的层数就代表了有几层独立的布线层,通常层数都是偶数,并且包含最外侧的两层。大部分的主机板都是4到8层的结构,不过技术上可以做到近100层的PCB板。大型的超级计算机大多使用相当多层的主机板,不过因为这类计算机已经可以用许多普通计算机的集群代替,超多层板已经渐渐不被使用了。因为PCB中的各层都紧密的结合,一般不太容易看出实际数目,不过如果您仔细观察主机板,也许可以看出来。 我们刚刚提到的导孔(via),如果应用在双面板上,那么一定都是打穿整个板子。不过在多层板当中,如

PCB设计布局布线技巧分享

PCB设计布局布线技巧分享 工程师往往更关注电路的设计、最新的元器件以及代码,认为这些才是一个电子产品项目中的重要部分,却忽略了PCB布局、布线这个关键的环节。如果PCB布局、布线不当,往往会导致电路工作不正常、不可靠。本文就列出实际PCB布局布线中要注意的一些要点,以帮助你的PCB项目做得更准确、可靠。 走线的尺寸PCB板上的铜线是有阻抗的,也就意味着在电路图上的一根连线在实际的板子上会有电压降、功耗,电流流过的时候也会有温升。阻抗由以下公式定义: PCB设计工程师通常使用走线的长度、厚度和宽度来控制其阻抗。电阻是用于制作PCB 走线的金属铜的物理特性,既然我们无法改变铜的物理特性,就来控制走线的尺寸吧。PCB走线的厚度以多少盎司的铜来计量。如果我们在1平方英尺的区域内均匀涂抹1盎司铜,这个厚度也就是一盎司的铜,这个厚度大致为1.4千分之一英寸。许多PCB设计师使用1盎司或2盎司的铜,但许多PCB制造商可提供6盎司的厚度。但请注意,许多要求精细的场合,比如靠得很近的管脚就很难铺设很厚的铜。在设计的阶段最好咨询PCB 制造商,先了解清楚他们的生产能力。 你可以借助“PCB走线宽度计算器”来确定你的走线厚度和宽度,在计算的时候可以设定升高的温度为5°C。当然如果你的板子空间足够,布线很轻松,不妨使用较宽的走线,因为在不增加成本的情况下可以获得较低的阻抗。 如果你的板子是多层的,外层上的走线肯定会比内层的走线温度更低,因为内层的热量必须通过内部走线、过孔、材料层等较长的路径才能将热散发掉。 环路一定要尽可能小 环路,尤其是高频环路,应尽可能小。较小的环路具有较低的电感和电阻。将环路放置在地平面上面也会进一步降低电感。通过小环路可减少由以下公式引起的高频电压尖峰:小的环路也会降低通过一些节点上的电感感应到的外部干扰,或者从节点广播出去的信号

PCB板基本设计规则

一、PCB板基础知识 PCB概念 PCB是英文(Printed Circuie Board)印制线路板的简称。通常把在绝缘材上,按预定设计,制成印制线路、印制元件或两者组合而成的导电图形称为印制电路。而在绝缘基材上提供元器件之间电气连接的导电图形,称为印制线路。这样就把印制电路或印制线路的成品板称为印制线路板,亦称为印制板或印制电路板。 PCB几乎我们能见到的电子设备都离不开它,小到电子手表、计算器、通用电脑,大到计算机、通迅电子设备、军用武器系统,只要有集成电路等电子无器件,它们之间电气互连都要用到PCB。它提供集成电路等各种电子元器件固定装配的机械支撑、实现集成电路等各种电子元器件之间的布线和电气连接或电绝缘、提供所要求的电气特性,如特性阻抗等。同时为自动锡焊提供阻焊图形;为元器件插装、检查、维修提供识别字符和图形。 PCB是如何制造出来的呢?我们打开通用电脑的健盘就能看到一张软性薄膜(挠性的绝缘基材),印上有银白色(银浆)的导电图形与健位图形。因为通用丝网漏印方法得到这种图形,所以我们称这种印制线路板为挠性银浆印制线路板。而我们去电脑城看到的各种电脑主机板、显卡、网卡、调制解调器、声卡及家用电器上的印制电路板就不同了。它所用的基材是由纸基(常用于单面)或玻璃布基(常用于双面及多层),预浸酚醛或环氧树脂,表层一面或两面粘上覆铜簿再层压固化而成。这种线路板覆铜簿板材,我们就称它为刚性板。再制成印制线路板,我们就称它为刚性印制线路板。单面有印制线路图形我们称单面印制线路板,双面有印制线路图形,再通过孔的金属化进行双面互连形成的印制线路板,我们就称其为双面板。如果用一块双面作内层、二块单面作外层或二块双面作内层、二块单面作外层的印制线路板,通过定位系统及绝缘粘结材料交替在一起且导电图形按设计要求进行互连的印制线路板就成为四层、六层印制电路板了,也称为多层印制线路板。 现在已有超过100层的实用印制线路板了。 PCB板的元素 1.工作层面 对于印制电路板来说,工作层面可以分为6大类, 信号层(signal layer) 内部电源/接地层(internal plane layer) 机械层(mechanical layer)主要用来放置物理边界和放置尺寸标注等信息,起到相应的提示作用。 EDA软件可以提供16层的机械层。 防护层(mask layer)包括锡膏层和阻焊层两大类。锡膏层主要用于将表面贴元器件粘贴在 PCB上,阻焊层用于防止焊锡镀在不应该焊接的地方。 丝印层(silkscreen layer)在PCB板的TOP和BOTTOM层表面绘制元器件的外观轮廓和放置 字符串等。例如元器件的标识、标称值等以及放置厂家标志,生产日 期等。同时也是印制电路板上用来焊接元器件位置的依据,作用是使 PCB板具有可读性,便于电路的安装和维修。 其他工作层(other layer)禁止布线层Keep Out Layer 钻孔导引层drill guide layer 钻孔图层drill drawing layer

PCB设计基础教程

PCB设计基础教程 目录 1.高速PCB设计指南之一 2.高速PCB设计指南之二 3.PCB Layout指南(上) 4.PCB Layout指南(下) 5.PCB设计的一般原则 6.PCB设计基础知识 7.PCB设计基本概念 8.pcb设计注意事项 9.PCB设计几点体会 10.PCB LAYOUT技术大全 11.PCB和电子产品设计 12.PCB电路版图设计的常见问题 13.PCB设计中格点的设置 14.新手设计PCB注意事项 15.怎样做一块好的PCB板 16.射频电路PCB设计 17.设计技巧整理 18.用PROTEL99制作印刷电路版的基本流程 19.用PROTEL99SE 布线的基本流程 20.蛇形走线有什么作用 21.封装小知识 22.典型的焊盘直径和最大导线宽度的关系 23.新手上路认识PCB 24.新手上路认识PCB<二> 高速PCB设计指南之一 高速PCB设计指南之一 第一篇 PCB布线

在PCB设计中,布线是完成产品设计的重要步骤,可以说前面的准备工作都是为它而做的,在整个PCB中,以布线的设计过程限定最高,技巧最细、工作量最大。PCB布线有单面布线、双面布线及多层布线。布线的方式也有两种:自动布线及交互式布线,在自动布线之前,可以用交互式预先对要求比较严格的线进行布线,输入端与输出端的边线应避免相邻平行,以免产生反射干扰。必要时应加地线隔离,两相邻层的布线要互相垂直,平行容易产生寄生耦合。 自动布线的布通率,依赖于良好的布局,布线规则可以预先设定,包括走线的弯曲次数、导通孔的数目、步进的数目等。一般先进行探索式布经线,快速地把短线连通,然后进行迷宫式布线,先把要布的连线进行全局的布线路径优化,它可以根据需要断开已布的线。并试着重新再布线,以改进总体效果。 对目前高密度的PCB设计已感觉到贯通孔不太适应了,它浪费了许多宝贵的布线通道,为解决这一矛盾,出现了盲孔和埋孔技术,它不仅完成了导通孔的作用,还省出许多布线通道使布线过程完成得更加方便,更加流畅,更为完善,PCB 板的设计过程是一个复杂而又简单的过程,要想很好地掌握它,还需广大电子工程设计人员去自已体会,才能得到其中的真谛。 1 电源、地线的处理 既使在整个PCB板中的布线完成得都很好,但由于电源、地线的考虑不周到而引起的干扰,会使产品的性能下降,有时甚至影响到产品的成功率。所以对电、地线的布线要认真对待,把电、地线所产生的噪音干扰降到最低限度,以保证产品的质量。 对每个从事电子产品设计的工程人员来说都明白地线与电源线之间噪音所产生的原因,现只对降低式抑制噪音作以表述: (1)众所周知的是在电源、地线之间加上去耦电容。 (2)尽量加宽电源、地线宽度,最好是地线比电源线宽,它们的关系是:地线>电源线>信号线,(通常信号线宽为:0.2~0.3mm,最经细宽度可达0.05~0.07mm,电源线为1.2~2.5 mm)对数字电路的PCB可用宽的地导线组成一个回路, 即构成一个地网来使用(模拟电路的地不能这样使用) (3)用大面积铜层作地线用,在印制板上把没被用上的地方都与地相连接作为地线用。或是做成多层板,电源,地线各占用一层。 2 数字电路与模拟电路的共地处理 现在有许多PCB不再是单一功能电路(数字或模拟电路),而是由数字电路和模拟电路混合构成的。因此在布线时就需要考虑它们之间互相干扰问题,特别是地线上的噪音干扰。 数字电路的频率高,模拟电路的敏感度强,对信号线来说,高频的信号线尽可能远离敏感的模拟电路器件,对地线来说,整个PCB对外界只有一个结点,所以必须在PCB部进行处理数、模共地的问题,而在板部数字地和模拟地实际上是分开的它们之间互不相连,只是在PCB与外界连接的接口处(如插头等)。数字地与模拟地有一点短接,请注意,只有一个连接点。也有在PCB上不共地的,这由系统设计来决定。 3 信号线布在电(地)层上

PCB布局、布线基本细则

PCB布局、布线基本原则 一、元件布局基本规则 1.按电路模块进行布局,实现同一功能的相关电路称为一个模块,电路模块中的元件应采用就近集中原则,同时数字电路和模拟电路 分开; 2.定位孔、标准孔等非安装孔周围1.27mm 内不得贴装元、器件, 螺钉等安装孔周围3.5mm(对于M2.5)、4mm(对于M3)内不得贴 装元器件;?3. 卧装电阻、电感(插件)、电解电容等元件的下方 避免布过孔,以免波峰焊后过孔与元件壳体短路;?4. 元器件的外 侧距板边的距离为5mm; 5. 贴装元件焊盘的外侧与相邻插装元件的外侧距离大于2mm; 6. 金属壳体元器件和金属件(屏蔽盒等)不能与其它元器件相碰, 不能紧贴印制线、焊盘,其间距应大于2mm。定位孔、紧固件安装 孔、椭圆孔及板中其它方孔外侧距板边的尺寸大于3mm; 7. 发热元件不能紧邻导线和热敏元件;高热器件要均衡分布;?8. 电源插座要尽量布置在印制板的四周,电源插座与其相连的汇流条

接线端应布置在同侧。特别应注意不要把电源插座及其它焊接连接 器布置在连接器之间,以利于这些插座、连接器的焊接及电源线缆 设计和扎线。电源插座及焊接连接器的布置间距应考虑方便电源插 头的插拔;?9.其它元器件的布置:?所有IC元件单边对齐,有 极性元件极性标示明确,同一印制板上极性标示不得多于两个方 10、板面布线应疏密得 向,出现两个方向时,两个方向互相垂直;? 当,当疏密差别太大时应以网状铜箔填充,网格大于8mil(或 0.2mm);? 11、贴片焊盘上不能有通孔,以免焊膏流失造成元件虚 焊。重要信号线不准从插座脚间穿过; 12、贴片单边对齐,字符方向一致,封装方向一致;?13、有极性的 器件在以同一板上的极性标示方向尽量保持一致。 二、元件布线规则 1、画定布线区域距PCB板边≤1mm的区域内,以及安装孔周围1mm内,禁止布线;? 2、电源线尽可能的宽,不应低于18mil;信号线宽不应低于12mil;cpu入出线不应低于10mil(或8mil);线间距不低于10mil; 3、正常过孔不低于30mil; 4、双列直插:焊盘60mil,孔径40mil; 1/4W电阻:51*55mil(0805表贴);直插时焊盘62mil,孔径42mil;无极电容: 51*55mil(0805表贴);直插时焊盘50mil,孔径28mil; 5、注意电源线与地线应尽可能呈放射状,以及信号线不能出现回环走线。 如何提高抗干扰能力和电磁兼容性 1、下面的在研制带处理器的电子产品时,如何提高抗干扰能力和电磁兼容性??? 一些系统要特别注意抗电磁干扰: (1)微控制器时钟频率特别高,总线周期特别快的系统。 ?(2) 系统含有大功率,大电流驱动电路,如产生火花的继电器,大电流开关等。?(3)含微弱模拟信号电路以及高精度A/D变换电路的系统。? 2、为增加系统的抗电磁干扰能力采取如下措施: (1) 选用频率低的微控制器:?

PCB板布局布线基本规则

一、元件布局基本规则 1.按电路模块进行布局,实现同一功能的相关电路称为一个模块,电路模块中的元件应采用就近集中原则,同时数字电路和模拟电路分开; 2.定位孔、标准孔等非安装孔周围 1."27mm内不得贴装元、器件,螺钉等安装孔周围 3."5mm(对于M 2."5)、4mm(对于M3)内不得贴装元器件; 3.卧装电阻、电感(插件)、电解电容等元件的下方避免布过孔,以免波峰焊后过孔与元件壳体短路; 4.元器件的外侧距板边的距离为5mm; 5.贴装元件焊盘的外侧与相邻插装元件的外侧距离大于2mm; 6.金属壳体元器件和金属件(屏蔽盒等)不能与其它元器件相碰,不能紧贴印制线、焊盘,其间距应大于2mm。定位孔、紧固件安装孔、椭圆孔及板中其它方孔外侧距板边的尺寸大于3mm; 7.发热元件不能紧邻导线和热敏元件;高热器件要均衡分布; 8.电源插座要尽量布置在印制板的四周,电源插座与其相连的汇流条接线端应布置在同侧。 特别应注意不要把电源插座及其它焊接连接器布置在连接器之间,以利于这些插座、连接器的焊接及电源线缆设计和扎线。电源插座及焊接连接器的布置间距应考虑方便电源插头的插拔; 9.其它元器件的布置: 所有IC元件单边对齐,有极性元件极性标示明确,同一印制板上极性标示不得多于两个方向,出现两个方向时,两个方向互相垂直;

10、"板面布线应疏密得当,当疏密差别太大时应以网状铜箔填充,网格大于8mil(或 0."2mm); 11、"贴片焊盘上不能有通孔,以免焊膏流失造成元件虚焊。重要信号线不准从插座脚间穿过; 12、"贴片单边对齐,字符方向一致,封装方向一致; 13、"有极性的器件在以同一板上的极性标示方向尽量保持一致。 二、元件布线规则 1、画定布线区域距PCB板边≤1mm的区域内,以及安装孔周围1mm内,禁止布线; 2、"电源线尽可能的宽,不应低于18mil;信号线宽不应低于12mil;cpu入出线不应低于10mil(或8mil);线间距不低于10mil; 3、正常过孔不低于30mil; 4、双列直插: 焊盘60mil,孔径40mil; 1/4W电阻:51*55mil(0805表贴);直插时焊盘62mil,孔径42mil; 无极电容:51*55mil(0805表贴);直插时焊盘50mil,孔径28mil; 5、注意电源线与地线应尽可能呈放射状,以及信号线不能出现回环走线。 如何提高抗干扰能力和电磁兼容性 在研制带处理器的电子产品时,如何提高抗干扰能力和电磁兼容性? 1、下面的一些系统要特别注意抗电磁干扰: (1)微控制器时钟频率特别高,总线周期特别快的系统。

PCB设计基础知识印刷电路板(Printedcircui

PCB 设计基础知识 印刷电路板(Printed circuit board, PCB)几乎会出现在每一种电子设备当中。如果在某样设备中有电子零 件,那么它们也都是镶在大小各异的PCB 上。除了固定各种小零件外,PCB 的主要功能是提供上头各项零 件的相互电气连接。随着电子设备越来越复杂,需要的零件越来越多,PCB 上头的线路与零件也越来越密 集了。标准的PCB 长得就像这样。裸板(上头没有零件)也常被称为「印刷线路板Printed Wiring Board (PWB)」。 板子本身的基板是由绝缘隔热、并不易弯曲的材质所制作成。在表面可以看到的细小线路材料是铜箔,原本铜箔是覆盖在整个板子上的,而在制造过程中部份被蚀刻处理掉,留下来的部份就变成网状的细小线路了。这些线路被称作导线(conductor pattern)或称布线,并用来提供PCB上零件的电路连接。 为了将零件固定在PCB上面,我们将它们的接脚直接焊在布线上。在最基本的PCB (单面板)上,零件都 集中在其中一面,导线则都集中在另一面。这么一来我们就需要在板子上打洞,这样接脚才能穿过板子到另一面,所以零件的接脚是焊在另一面上的。因为如此,PCB 的正反面分别被称为零件面( Component Si de)与焊接面(Solder Side)。 如果PCB 上头有某些零件,需要在制作完成后也可以拿掉或装回去,那么该零件安装时会用到插座( Soc ket)。由于插座是直接焊在板子上的,零件可以任意的拆装。下面看到的是ZIF (Zero Insertion Force, 零拨插力式)插座,它可以让零件(这里指的是CPU)可以轻松插进插座,也可以拆下来。插座旁的固定 杆,可以在您插进零件后将其固定。 如果要将两块PCB相互连结,一般我们都会用到俗称「金手指」的边接头( edge connector)。金手指上 包含了许多裸露的铜垫,这些铜垫事实上也是PCB 布线的一部份。通常连接时,我们将其中一片PCB 上的金手指插进另一片PCB上合适的插槽上(一般叫做扩充槽Slot)。在计算机中,像是显示卡,声卡或是 其它类似的界面卡,都是借着金手指来与主机板连接的。 PCB上的绿色或是棕色,是阻焊漆(solder mask)的颜色。这层是绝缘的防护层,可以保护铜线,也可以防止零件被焊到不正确的地方。在阻焊层上另外会印刷上一层丝网印刷面( silk screen)。通常在这上面会 印上文字与符号(大多是白色的),以标示出各零件在板子上的位置。丝网印刷面也被称作图标面( legen d)。 单面板( Single-Sided Boards) 我们刚刚提到过,在最基本的PCB 上,零件集中在其中一面,导线则集中在另一面上。因为导线只出现在其中一面,所以我们就称这种PCB 叫作单面板( Single-sided) 。因为单面板在设计线路上有许多严格的限制(因为只有一面,布线间不能交叉而必须绕独自的路径),所以只有早期的电路才使用这类的板子。 双面板( Double-Sided Boards) 这种电路板的两面都有布线。不过要用上两面的导线,必须要在两面间有适当的电路连接才行。这种电路间的「桥梁」叫做导孔(via)。导孔是在PCB上,充满或涂上金属的小洞,它可以与两面的导线相连接。因为双面板的面积比单面板大了一倍,而且因为布线可以互相交错(可以绕到另一面),它更适合用在比单面板更复杂的电路上。 多层板( Multi-Layer Boards) 为了增加可以布线的面积,多层板用上了更多单或双面的布线板。多层板使用数片双面板,并在每层板间放进一层绝缘层后黏牢(压合)。板子的层数就代表了有几层独立的布线层,通常层数都是偶数,并且包含最外侧的两层。大部分的主机板都是4到8层的结构,不过技术上可以做到近100层的PCB板。大型的 超级计算机大多使用相当多层的主机板,不过因为这类计算机已经可以用许多普通计算机的集群代替,超多层板已经渐渐不被使用了。因为PCB 中的各层都紧密的结合,一般不太容易看出实际数目,不过如果您仔细观察主机板,也许可以看出来。 我们刚刚提到的导孔( via) ,如果应用在双面板上,那么一定都是打穿整个板子。不过在多层板当中,如 果您只想连接其中一些线路,那么导孔可能会浪费一些其它层的线路空间。埋孔( lind vias)技术可以避免这个问题,因为它们只穿透其中几层。盲孔是将几层内部不须穿透整个板子。埋孔则只连接内部的PCB,所以光是从表面是看不出来的。 Buried vias)和盲孔(B PCB 与表面PCB 连接,

开关电源的PCB布局走线

首先从开关电源的设计及生产工艺开始描述吧,先说说印制板的设计。开关电源工作在高频率,高脉冲状态,属于模拟电路中的一个比较特殊种类。布板时须遵循高频电路布线原则。 1、布局:脉冲电压连线尽可能短,其中输入开关管到变压器连线,输出变压器到整流管连接线。脉冲电流环路尽可能小如输入滤波电容正到变压器到开关管返回电容负。输出部分变压器出端到整流管到输出电感到输出电容返回变 压器电路中X电容要尽量接近开关电源输入端,输入线应避 免与其他电路平行,应避开。 Y电容应放置在机壳接地端子或FG连接端。共摸电感应与变压器保持一定距离,以避免 磁偶合。如不好处理可在共摸电感与变压器间加一屏蔽,以上几项对开关电源的EMC性能影响较大。 输出电容一般可采用两只一只靠近整流管另一只应 靠近输出端子,可影响电源输出纹波指标,两只小容量电容 并联效果应优于用一只大容量电容。发热器件要和电解电容保持一定距离,以延长整机寿命,电解电容是开关电源寿命的瓶劲,如变压器、功率管、大功率电阻要和电解保持距离,电解之间也须留出散热空间,条件允许可将其放置在进风口控制部分要注意:高阻抗弱信号电路连线要尽量短 如取样反馈环路,在处理时要尽量避免其受干扰、电流取样

信号电路,特别是电流控制型电路,处理不好易出现一些想不到的意外,其中有一些技巧 现以3843电路举例见图(1)图一效果要好于图二,图二在满载时用示波器观测电流波形上明显叠加尖刺,由于干扰限流点比设计值偏低,图一则没有这种现象、还有开关管驱动信号电路,开关管驱动电阻要靠近开关管,可提高开关管工作可靠性,这和功率 MOSFET高直流阻抗电压驱动特性有关。 下面谈一谈印制板布线的一些原则。 线间距:随着印制线路板制造工艺的不断完善和提高,一般加工厂制造出线间距等于甚至小于0.1mm已经不存在什么问题,完全能够满足大多数应用场合。考虑到开关电源所采用的元器件及生产工艺,一般双面板最小线间距设

PCB布线规则详解

1 电源、地线的处理既使在整个PCB板中的布线完成得都很好,但由于电源、地线的考虑不周到而引起的干扰,会使产品的性能 下降,有时甚至影响到产品的成功率。所以对电、地线的布线要认真对待,把电、地线所产生的噪音干扰降到最低限度,以保证 产品的质量。对每个从事电子产品设计的工程人员来说都明白地线与电源线之间噪音所产生的原因,现只对降低式抑制噪音作 以表述:众所周知的是在电源、地线之间加上去耦电容。尽量加宽电源、地线宽度,最好是地线比电源线宽,它们的关系是: 地线>电源线>信号线,通常信号线宽为:0.2~ 0.3mm,最经细宽度可达0.05~0.07mm,电源线为 1.2~ 2.5 mm 对数字电路的PCB可用宽的地导线组成一个回路, 即构成一个地网来使用(模拟电路的地不能这样使用) 用大面积铜层作地线用,在印制板上把没被用上的地方都与地相连接作为地线用。或是做成多层板,电源,地线各占用一层。 2、数字电路与模拟电路的共地处理现在有许多PCB 不再是单一功能电路(数字或模拟电路),而是由数字电路和模拟电路混合构成的。因此在布线时就需要

考虑它们之间互相干扰问题,特别是地线上的噪音干扰。数字电路的频率高,模拟电路的敏感度强,对信号线来说,高频的信号线尽可能远离敏感的模拟电路器件,对地线来说,整人PCB对外界只有一个结点,所以必须在PCB内部进行处理数、模共地的问题,而在板内部数字地和模拟地实际上是分开的它们之间 互不相连,只是在PCB与外界连接的接口处(如插头等)。数字地与模拟地有一点短接,请注意,只有一个连接点。也有在PCB上不共地的,这由系统设计来决定。 3、信号线布在电(地)层上在多层印制板布线时,由于在信号线层没有布完的线剩下已经不多,再多加层数就会造成浪费也会 给生产增加一定的工作量,成本也相应增加了,为解决这个矛盾,可以考虑在电(地)层上进行布线。首先应考虑用电源层,其次才是地层。因为最好是保留地层的完整性。 4、大面积导体中连接腿的处理在大面积的接地(电)中,常用元器件的腿与其连接,对连接腿的处理需要进行综合的考虑,就

相关主题
文本预览
相关文档 最新文档