当前位置:文档之家› 基于FPGA的简易电子琴设计

基于FPGA的简易电子琴设计

基于FPGA的简易电子琴设计
基于FPGA的简易电子琴设计

课程设计任务书

开题报告

皖西学院本科毕业论文(设计)中期检查表

简易电子琴的设计

学生姓名:王春指导老师:郑大腾

摘要

本系统是采用EDA技术设计的一个简易的八音符电子琴,该系统基于计算机中时钟分频器的原理,采用自顶向下的设计方法来实现,它可以通过按键输入来控制音响。多功能电子琴的设计是在原有普通电子琴的基础上进行扩充的一个设计。该电子琴的设计大体可以由三个模块构成,分别是电子琴发声模块、存储器模块和选择控制模块。用超高速硬件描述语言VHDL编程可以实现各个模块的功能。不仅能实现弹琴和演奏的功能,它还能实现“复读”的功能,就是可以存储任意一段音乐,并且可以即时的播放出来。系统实现是用硬件描述语言VHDL 按照模块化方式进行设计,然后进行编程、时序仿真、总体整合。本系统的功能比较齐全,有一定的现实使用的价值。本文中介绍了电子琴系统的整体的设计,并基于超高速硬件描述语言VHDL在相关的芯片上编程实现的。

关键字

电子琴;EDA;VHDL;音调发生;现场可编程逻辑器件FPGA;超高速硬件描述语言VHDL;电子琴系统;

Abstract

This system is designed using EDA technology a simple eight-note keyboard, the system clock divider based on the principle of the computer, using top-down design methodology to implement, it can be controlled through the key input audio. Multi-function keyboard is designed to be an ordinary keyboard in the original expansion on the basis of a design. The design of the keyboard in general consists of three modules, namely the keyboard sound

modules, memory modules and select the control module. Ultra high-speed hardware description language VHDL programming function of each module. Not only can realize the function of playing and playing, it can achieve "repeat" function, which can store any piece of music, and real-time play out. System implementation is to use hardware description language VHDL modular way by design, then programming, timing simulation, integration. The system features a relatively complete, there is a certain value. This paper introduces the overall design of the keyboard system, and based on high speed hardware description language VHDL in Xilinx's Spartan Ⅱ series 2sc200PQ208-5 chip programming.

Keyword

Keyboard; EDA; VHDL; tone occurred;

field programmable logic device FPGA;

ultra high-speed hardware description language VHDL; organ systems;

目录

1 引言..................................................................

1.1设计的目的...........................................................

1.2设计的基本内容.......................................................

2 FPGA、EDA、VHDL简介....................................................

2.1FPGA工作原理 ........................................................

2.1.2 FPGA的基本特点....................................................

2.2EDA技术...............................................................

2.3硬件描述语言——VHDL ...................................................

2.3.1 VHDL的简介.......................................................

2.3.2 VHDL语言的特点...................................................

2.3.3VHDL语言上机操作条件.............................................

2.3.4 VHDL的设计流程...................................................

3 音乐知识介绍............................................................

4 简易电子琴设计..........................................................

4.1系统设计的总思路.....................................................

4.2程序设计的流程图.....................................................

4.3简易电子琴的工作流程图...............................................

4.4简易电子琴中各模块的设计.............................................

4.4.1 乐曲自动演奏模块...................................................

4.4.2 音调发生模块.......................................................

4.4.3 数控分频模块.......................................................

4.4.4 顶层设计...........................................................

5 系统仿真.................................................................

6 结束语..................................................................

致谢..................................................................... 参考文献................................................................. 附录.....................................................................

1 引言

我们生活在一个信息高速发达的时代,各种各样电子产品层出不穷。对于广

大老百姓来说,电子琴可以说已经不再是什么“新鲜玩意”了,它现在作为一种

休闲和娱乐的产品早就推出市面,面向百姓,进入了我们的生活。作为一个电子

信息科学与技术专业的学生,了解这些电子产品的基本的组成和设计原理是十分

必要的,我们学习过了计算机组成的理论知识,而我所做的课程设计正是对我学

习的理论进行实践和巩固。本设计主要介绍的是一个用超高速硬件描述语言

VHDL设计的一个具有若干功能的简易电子琴;集科学性,先进性,创新性,实

用性于一体,其理论基础源自于计算机组成原理的时钟分频器。

1.1 设计的目的

本次设计的目的就是在掌握计算机组成原理理论相关的基础上,了解EDA

技术,掌握VHDL硬件描述语言的设计方法和思想,通过学习的VHDL语言结

合电子电路的设计知识理论联系实际,掌握所学的课程知识,例如本课程设计就

是基于所学的计算机原理中的时钟分频器和定时器的基础之上的,通过本课程设

计,达到巩固和综合运用计算机原理中的知识,理论联系实际,巩固所学理论知

识,并且提高自己通过所学理论分析、解决计算机相关的实际问题的能力。

1.2 设计的基本内容

基于MAX+PLUS平台,运用VHDL语言对简易电子琴的各个模块进行设

计,并使用EDA 工具对各模块进行仿真验证。本设计包含如下三个模块:乐曲

自动演奏模块,音调发生模块,数控分频模块,最后把各个模块整合后,通过电

路的输入输出对应关系连接起来。

1.3 设计方案

为了实现这种有“自动播放歌曲”和“复读”功能的多功能简易电子琴,以下提供两种方案以供参考:

方案一:采用单个的逻辑器件组合实现。这样虽然比较直观,逻辑器件分工鲜明,思路也比清晰,一目了然,但是因为元器件种类、个数非常多,而且过于复杂的硬件电路也容易引起系统的精度不高、体积过大等一系列的不利因素。例如八个不同的音符是由八个不同的频率来控制输出发声的,而采用这个方案需要运用不同的分频器来对信号进行不同程度的分频。所用仪器之多显而易见。

方案二:采用VHDL语言编程来实现电子琴的各项功能。我这个系统主要由电子琴发声模块、选择控制模块和储存器模块组成的。和方案一相比较,方案二就显得比较笼统,只是把整个系统分为了若干个小模块,却不牵涉到具体的硬件电路。但是我们必须看到使用超高速硬件描述语言VHDL的优势,它不仅具有良好的电路行为描述和系统描述的能力并且通俗易懂。

2 FPGA、EDA、VHDL简介

2.1 EDA技术

EDA(Electronic Design Automation)就是电子设计自动化,它是近几年来迅速发展起来的计算机软件、硬件和微电子技术交叉运用的现代电子科学,是20世纪90年代初从CAD(计算机辅助设计)、CAM(计算机辅助制造)、CAT (计算机辅助测试)、CAE(计算机辅助工程)的概念发展而来的。EDA技术是以计算机为工作平台、以EDA软件工具为开发环境、以硬件描述语言为设计语言、以ASIC(Application Specific Integrated Circuits)为实现载体的电子产品自动化设计过程。在EDA软件平台上,根据原理图或硬件描述语言VHDL完成的设计文件,自动的完成逻辑编译、化简、分割、综合及优化、布局布线、仿真、目标芯片的适配编译、逻辑映射和编程下载等工作;EDA技术是在电子CAD技术基础上发展起来的计算机软件系统,是指以计算机为工作平台,融合了应用电

子技术、计算机技术、信息处理及智能化技术的最新成果,进行电子产品的自动设计。利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程的计算机上自动处理完成。在现在的电子设计领域,随着微电子技术的迅速的发展,无论是电路设计、系统设计还是芯片设计,其设计的复杂程度都在不断地增加,而且电子产品更新步伐也非常的迅速。这样一来,我们仅仅依靠传统的手工设计已经不再能满足现在工艺的要求,而电子设计自动化技术的发展给电子系统设计带来了革命性的变化,大部分设计工作都可以在计算机上借助EDA工具来完成。现在对EDA的概念或范畴用得很宽。包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。目前EDA技术已在各大公司、企事业单位和科研教学部门广泛使用。例如在飞机制造过程中,从设计、性能测试及特性分析直到飞行模拟,都可能涉及到EDA技术。本文所指的EDA技术,主要针对电子电路设计、PCB设计和IC设计。

2.2 关于FPGA介绍

2.2.1 FPGA工作原理

FPGA采用了逻辑单元阵列LCA(Logic Cell Array)这样一个概念,内部包括可配置逻辑模块CLB(Configurable Logic Block)、输出输入模块IOB(Input Output Block)和内部连线(Interconnect)三个部分。

2.2.2 FPGA的基本特点

(1)采用FPGA设计ASIC电路(专用集成电路),用户不需要投片生产,就能得到合用的芯片。

(2)FPGA可做其它全定制或半定制ASIC电路的中试样片。

(3)FPGA内部有丰富的触发器和I/O引脚。

(4)FPGA是ASIC电路中设计周期最短、开发费用最低、风险最小的器件之一。(5)FPGA采用高速CHMOS工艺,功耗低,可以与CMOS、TTL电平兼容。

相关主题
文本预览
相关文档 最新文档