当前位置:文档之家› 多路抢答器毕业论文

多路抢答器毕业论文

多路抢答器毕业论文
多路抢答器毕业论文

毕业设计(论文)课题:

学生: 系部:

班级: 学号:

指导教师:

装订交卷日期:

装订顺序: (1)封面(2)毕业设计(论文)成绩评定记录(3)标题、中文摘要及关键词(4)正文(5)附录(6)参考文献

毕业设计(论文)成绩评定记录表

指导教师评语(包含学生在毕业实习期间的表现):

成绩(平时成绩): 指导教师签名:

年月日

评阅教师评语:

成绩(评阅成绩): 评阅教师签名:

年月日

答辩情况记录:

答辩成绩:

答辩委员会主任(或答辩教师小组组长)签名:

年月日

总评成绩:

注:1.此表适用于参加毕业答辩学生的毕业设计(论文)成绩评定;

2.平时成绩占20%、卷面评阅成绩占50%、答辩成绩占30%,在上面的评分表中,可分别按20分、50分、30分来量化评分,三项相加所得总分即为总评成绩,总评成绩请转换为优秀、良好、中等、及格、不及格五等级计分。

教务处制

毕业设计(论文)成绩评定记录表

指导教师评语(包含学生在毕业实习期间的表现):

成绩(平时成绩): 指导教师签名:

年月日

评阅教师评语:

成绩(评阅成绩): 评阅教师签名:

年月日

总评成绩:

注:1.此表适用于不参加毕业答辩学生的毕业设计(论文)成绩评定;

2.平时成绩占40%、卷面评阅成绩占60%,在上面的评分表中,可分别按40分、60分来量化评分,二项相加所得总分即为总评成绩,总评成绩请转换为优秀、良好、中等、及格、不及格五等级计分。

教务处

基于单片机的简易多路智力抢答器

摘要

抢答器是一种应用非常广泛的设备,在各种竞赛、抢答场合中,它能迅速、客观地分辨出最先获得发言权的选手。早期的抢答器只由几个三极管、可控硅、发光管等组成,能通过发光管的指示辨认出选手号码。现在随着电子技术的发展,大多数抢答器均已使用单片机来实现抢答功能,具有功能齐全,电路简单,成本低,性能高等优点。单片机以其较高的可靠性、准确性和可拓展性受到越来越广泛的应用。本设计就是基于MCS-51单片机为控制核心,结合周边电路实现的一个简易多路智力抢答器。

关键词:抢答器;单片机;功能

第一章绪论1.1 单片机概述

单片机(单片微型计算机)是一种集成在电路芯片,是采用超大规模集成电路技术把具有数据处理能力的中央处理器CPU随机存储器RAM、只读存储器ROM、多种I/O口和中断系统、定时器/计时器等功能(可能还包括显示驱动电路、脉宽调制电路、模拟多路转换器、A/D转换器等电路)集成到一块硅片上构成的一个小而完善的计算机系统。

随着微电子技术,自动控制技术,微机应用技术的发展,使单片微型计算机也得到迅速的发展,单片微型计算机是微型计算机的一个重要分支,单片机微型计算机简称单片机,特别适用于控制领域,故又称为微控制器。

单片机的应用已经渗透到国民经济的各个部门和领域,它起到了越来越重要的作用。它已成为工业控制领域,智能仪器仪表,尖端武器,日常生活中最广泛使用的控制器。

1.2 抢答器的原理与发展应用

在各类竞赛中,特别是做抢答题时,在抢答过程中,为了知道哪一组或哪一名选手先答题,必须要有一个系统来完成这个任务。如果在抢答过程中,只靠人的视觉是很难判断出哪组先答题。利用单片机来设计抢答器,使以上问题得以解决,即使有两组的抢答时间相差几微秒,也可分辨出哪组优先答题。

抢答器是一种应用非常广泛的设备,在各种竞赛、抢答场合中,它能迅速、客观地分辨出最先获得发言权的选手。早期的抢答器只由几个三极管、可控硅、发光管等组成,能通过发光管的指示辨认出选手号码。现在大多数抢答器均使用单片机(如 MCS-51 型)和数字集成电路,并增加了许多新功能,如选手号码显

示、计时、响铃、选手得分显示等功能。

对于抢答器的应用,如早期的数字电路,随着科技的逐步发展,进而到了单片机的控制来实现其功能,而且功能齐全,电路简单,成本低,性能高,真正朝着有利的方向发展。

第二章系统方案2.1 系统要求指标及其功能

本系统要实现:1. 识别最先选手按键并锁存。2.实时显示当前状态和抢答号码。3. 8路输入与显示。4. 对最先抢答人亮灯。5. 主持人能开始,停止/清零抢答器状态。

2.2 各种方案选择比较

2.2.1 实现方式的选择

方案一:采用纯数字门电路。通过各种逻辑门电路的组合连接,实现系统功能。此方案设计复杂,电路复杂,功能单一,且需要门电路较多,成本较高,也不美观。维护调试都比较麻烦。

方案二:采用单片机为主控制器。此方案电路简单,设计工作主要是软件设计,设计较为灵活,功能都是通过软件实现,硬件花费少;应用KEIL 软件,C 语言编程,软件设计也较为方便。系统易于调试维护。应用单片机使得系统更具有智能化的特色,是当今的主流。故采用本方案。

2.2.2 控制器的选择

方案一:采用AT89C51系列单片机。由于AT系列单片机烧录调试程序需要专门烧写器。购买烧写器成本较高,且烧录不方便。

方案二:采用STC89C51系列单片机。STC8951系列单片机为AT8951的同类替代产品,除去和AT8951具有的相同性能外,还可以直接用串口烧录程序,大大简化了程序烧写的流程。降低了成本,加快了开发周期。考虑到单片机内部存

储器的大小(STC89C52的flash 为8K,RAM 为512),本系统选用STC89C52单片机。

2.2.3 显示模块的选择

方案一:采用L12864液晶显示。此器件能显示4*8个字符,操作简便,但

器件很小,视觉效果差。

方案二:采用数码管显示。此器件价格较低廉,能显示数字,亮度较高,且

规格较多,本系统可选用大尺寸、高亮度的LED 数码管。故选用此方案。

2.3 系统的描述

单片机

按键阵列

LED 发光二极管

阵列

LED 数码管

控制门电路

电源

图2.3.1 抢答器的系统框图

本系统以STC89C52单片机为控制核心,结合周边电路,通过单片机引脚I/O 口实现对按键抢答的迅速响应;应用单片机外部中断实现对按键的快速响应,单片机的相应时间可达几微妙,满足系统快速响应要求。在此基础上,实现了按键响应并识别。主持人能够通过“开始”键开始答题,此时选手可以抢答。单片机通过中断的方式响应最先抢答的选手并识别选手号码,此时选手们的按键锁定, 所有人按键无效,数码管显示最先抢答人的号码,相应选手的LED 发光二极管点亮,此时主持人可以按“停止/清零”键停止抢答,停止时,数码管显示“FF ”。

第三章电路设计

3.1 系统总体设计

本设计的主要电路有:单片机STC89C52最小系统、数码管显示驱动模块、按键输入模块。LED发光二极管电路。

外围电路都与单片机引脚I/O口相连,以实现单片机对外围电路的控制,实现相应功能。

3.2 单元电路设计

3.2.1 单片机最小系统

图3.2.1 单片机最小系统

如图 3.2.1 单片机最小系统包括单片机、时钟电路、复位电路。其他引脚I/O相应的扩充功能,P1口接8个独立按键,P3.4-5为功能控制按键,P3.6-7为数码管扫描位,INT0、INT1接按键门电路处理的信号中断,P2接LED发光二极管,PO接数码管数据位。

3.2.2 电源电路

图3.2.2 电源电路

本电源电路如上图所示,应用7805三端稳压电源模块构成。输出稳压5V电压,为单片机、LED灯和数码管提供工作电压。电容为滤波作用,使输出电源更平滑稳定。

3.2.3 数码管驱动电路

本电路由数码管、三极管构成。三极管的作用是为数码管提供驱动,使之更亮。单片机引脚接三极管基极,控制三极管的导通对数码管选择位控制。数码管为共阳极数码管。如图3.2.3所示。

图3.2.3 数码管驱动电路

3.2.4 按键电路

图3.2.4 按键电路

如上图所示,按键电路分为两部分,第一部分是选手按键阵列,共有8个独立按键,接

到单片机P1口,同时8位按键通过一个8输入与门接入单片机外部中断位INT1。第二部分是主持人按键阵列,共有2个独立按键,接到P3的两个普通I/O口,同时2位按键通过一个2输入与门接入单片机外部中断位INT0。主持人的优先级高于选手。

3.2.5 LED发光二极管电路

图3.2.5 LED发光二极管电路

本电路由高亮度发光二极管组成,共阳极连接,阴极接P2口。由P2口控制发光二极管的亮灭。

第四章 程序设计

4.1程序总体流程图

开始

系统初始化

当前是否开始

显示号码

关选手中断选手按键无效

显示亮灯

号码清零

数码管显示”FF ”

结束

YES

NO

图4.1.1 系统总体流程图

INT0

去抖动

按键有效?

识别按键

开始/清零设置

结束

YES

INT1

按键有效?

识别按键

关选手中断其他人按键无效

结束

YES

图4.1.2 中断程序流程图

主程序实现当主持人按开始时,等待按键并显示最先抢答人号码,相应二极

管灯点亮,此时其他人抢答无效。当主持人按停止清零时,选手按键无效,数码管和二极管灯清零。

中断程序有两个,分别实现1.如果主持人按键,触动外部中断1,识别是否

是开始还是停止清零,如果是开始,则开选手按键中断,选手可按键抢答。如果是停止清零,则选手按键关,选手按键无效。2.选手按键中断,识别按键并关选手按键,其他人按键无效。

程序见附录。

第五章测试与仿真5.1测试方法

测试仿真工具:Protues软件仿真

5.2测试效果

图5.2.1 Protues仿真总体效果图

上图为系统启动时,当前为停止清零状态,选手此时不能按键,按键无效,数码管显示"FF",发光二极管都不亮。

图5.2.2 开始后效果图

图5.2.3 选手抢答后效果图

图5.2.2为主持人按键开始时,此时数码管为00,等待选手抢答。

图5.2.3为选手抢答后,数码管显示选手号码,相应二极管灯点亮。此时其他选手抢答按键无效。

综上所述,仿真效果完全符合题目要求指标。

第五章总结

本系统以STC89C52单片机为控制核心,结合周边电路。经过测试,各项功能指标均达到设计要求,且电路设计简洁,程序设计也较为简单,界面效果简洁美观,操作简单实用。

通过此次毕业课题的设计,让我学到很多东西,一方面,它是3年大学所学知识的一个综合应用,考察了我大学所学的知识,是一次彻底的知识巩固和提高。另一方面,通过综合的应用设计,锻炼了我应用知识的能力和动手能力。同时,在设计的过程中,也锻炼了我解决问题的能力,遇到问题坚持不懈的毅力和细心程度。让我在以后求职就业中更好的学习和提高自己。

第六章参考文献

[1] 李全利. 单片机原理及接口技术.高等教育出版社

[2] 公茂法. 单片机原理与实践.北京航空航天出版社

[3] 全国大学生电子设计竞赛组委会. 第九届全国大学生电子设计竞赛获奖作品选编.北京理工大学出版社

[4] 及力. Protel 99原理图与PCB设计教程. 电子工业出版社

第七章附录

/*===========================程序清单========================*/

#include

typedef unsigned char uchar;

typedef unsigned int uint;

#define KEY P1 //8个按键

#define DATA P0 //数码管段

sbit LED1=P2^0;

sbit LED2=P2^1;

sbit LED3=P2^2;

sbit LED4=P2^3;

sbit LED5=P2^4;

sbit LED6= P2^5;

sbit LED7= P2^6;

sbit LED8= P2^7; //发光二极管

sbit WEI1=P3^6; //数码管高位

sbit WEI2=P3^7; //数码管地位

sbit start=P3^4; //开始按键

sbit stop=P3^5; //停止按键

uchar num,temp,work_mode;

uchar code lab[11]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d, //数码管编码 0x07,0x7f,0x6f,0x71};//0123456789F

/*======================自定义子程序===================*/

void delay10ms(); //延时10ms

void delay5ms(); //计时器计时

void display_num(); //显示数码管数字

void init_INT0(); //初始化

void init_INT1(); //初始化

void key_process(); //按键处理

void display_led(); //LED灯显示

void init_port(); //初始化I/O口

/*========================主程序=====================*/

void main()

{

init_INT0();

init_INT1(); //系统初始化

init_port();

while(1)

{

EX1=1; //开抢答人按键中断,

while(work_mode) //当主持人按键开始抢答时

四人智力抢答器的设计

四人智能抢答器设计报告

目录 摘要---------------------------------------------------------------------------3前言---------------------------------------------------------------------------4第一章设计要求----------------------------------------------------------5 1.1设计任务-------------------------------------------------------------5 1.2基本要求-------------------------------------------------------------5 1.3选用器材-------------------------------------------------------------5 第二章系统工作原理------------------------------------------6 2.1系统的工作原理-----------------------------------------------------6 第三章电路设计--------------------------------------------------------------7 3.1方案的选择-----------------------------------------------------------7 3.1.1方案一----------------------------------------------------------7 3.1.2方案二----------------------------------------------------------7 3.1.3确定方案-------------------------------------------------------7 3.2单元电路设计--------------------------------------------------------8 3.2.1以锁存器为中心的编码显示电路----------------------------------------------8 3.2.2倒计时显示电路的设计---------------------------------------------8 3.2.3报警电路的设计---------------------------------------------9 3.2.4脉冲产生电路的设计----------------------------------------------10 3.3整体电路--------------------------------------------------------10 3.4 555多谐振荡器的脉冲波形图--------------------------------------------------------11 3.5电路元件选择--------------------------------------------------------12 第四章电路实验与调试---------------------------------------------------13 4.1焊接--------------------------------------------------------------------13 4.2调试中出现的问题及解决-----------------------------------------13 4.3P C B板图--------------------------------------------------------14 4.4焊接的实物图--------------------------------------------------------14 第五章设计总结-----------------------------------------------------------15 参考文献-----------------------------------------------------------15

八路抢答器课程设计

电子技术 课程设计 题目:八路抢答器的设计 学院(系): 专业班级:电子132 学生姓名:学生学号:13446413 指导教师: 设计时间:2015年6 月22日 2015年7月15日

电子技术课程设计任务书2 学院电子(怀)132 班同学:

计算机教研室指导教师_

目录 摘要-------------------------------------------------------------------------------------1 1八路抢答器-----------------------------------------------------------------------------------------2 1.1前言------------------------------------------------------------------------------------------------2 1.2八路抢答器功能-----------------------------------------2 2系统的组成及工作原理--------------------------------------2 2.1系统组成框图--------------------------------------------------------------------------------- 3 2.2系统的工作原理------------------------------------------------------------------------------ 3 3电路设计--------------------------------------------------- 4 3.1方案的选择------------------------------------------------------------------------------------ 4 3.1.1方案一---------------------------------------------------------------------------------------4 3.1.2方案二---------------------------------------------------------------------------------------5 3.1.3方案的选择---------------------------------------------------------------------------------5 3.2单元电路的设计------------------------------------------------------------------------------ 5 3.2.1抢答电路的设计---------------------------------------------------------------------------5 3.2.2定时电路的设计-------------------------------------------------------------------------11 3.2.3触发器电路的设计----------------------------------------------------------------------13 3.2.4多谐振荡器电路的设计----------------------------------------------------------------15 3.2.5秒脉冲产生电路的设计----------------------------------------------------------------16 4性能的测试------------------------------------------------17 5体会与总结------------------------------------------------------------------------------------- 18 参考文献-------------------------------------------------------------------------------------------18 附录:元器件列表----------------------------------------------------------------------------- 19

电子抢答器数电课程设计

设计题目:智力竞赛电子抢答器 设计要求及技术指标: 1、抢答器电路分为抢答电路设计和必答电路设计。 2、抢答电路设计具体要求: (1)抢答组数分为四组,序号为1~4。 (2)优先判断与指示电路设计。 (3)主持人清除上次抢答结果的电路设计。 (4)主持人给选手打分电路与选手得分累计显示电路设计。 3、必答电路设计具体要求: (1)30秒必答倒计时电路设计。 (2)超时短暂报警。 第 1 章电路设计简介 1 原理电路设计: 1.1 电路总体工作框图 图1:课程设计的总体框图

整个电路框图如上图所示,主要可分为三个部分,第一部分为四路选手抢搭电路,这部分电路主要采用了四个74LS595来实现四位选手仅选可选通一路的功能实现,并结合使用多个四路与非门(即74LS10)来实现其对计时电路的控制。第二部分为计时电路,其通过两个级联的74LS161与555定时器来实现计时功能,并通过其对于声音警示电路控制。第三部分为选手计分电路,需用了可加可减的74LS192来实现对于选手的加减积分功能。整个电路在学校提供的开发板上,其中所需使用的LED灯、按钮按照开发板作相应的调整。 第 2 章硬件电路的组成 1电路工作原理 当第一位选手按下按钮,74LS373锁存器构成的选通电路就会将低电平传至二极管led的负极,此时若有其他选手再按下按钮,其会导致74LS373处于锁存数据状态,从而使已经亮的小灯不会受到其他选手按钮的影响,另一方面已经选通一路会通过锁存器阻止其他路数据的传入。另一方面四位按钮通过控制74LS161来实现对计时电路的控制,以使得当有选手按下按钮时计时才开始。 计时电路由两个异步清零的模十计数器构成,对其清零通过对于其清零端与使能端置低电平完成,而两位已内置好74LS48的数码管通过与74LS161的输出管脚来实现时间的显示。因为电路要求30秒计时,所以使用计时十位的74LS161的低两位作为是否到达30s的判断信号,当Q1、Q0均为1的时候就是到达30s,此时通过与非门输出来控制扬声器以及停止计时。 选手计分电路通过使用可加可减的74LS192来完成,当其5管脚为上升沿是,其数值加一;而当4管脚为上升沿时,其数值减一。而上升的产生则通过使用电源、地与一个按钮完成。选手分数的显示通过使74LS192的输出管脚与已内置好74LS48的数码管相连来完成。 总体电路的设计思路如上,后期搭建电路过程中依据实际情况做了小幅调整,当整体思路未变。 1.1总体电路原理图如下:

数电智力竞赛抢答器课程设计完全版

电子技术 课程设计 成绩评定表 设计课题:智力竞赛抢答器 学院名称:电气工程学院 专业班级:电气1503 学生:段帅朋 学号: 201523010310 指导教师: 设计地点:31-220 设计时间: 2017.6.26-2017.7.2

电子技术课程设计任务书

目录 1.绪论 (3) 1.1设计目的 (3) 1.2 设计要求 (3) 2.方案设计 (3) 2.1系统工作流程图 (3) 2.2 元器件清单 (4) 2.3主要元器件选择与分析 (5) 2.3.1 轻触开关 (5) 2.3.2 74LS192计数芯片 (5) 2.3.3共阴极数码管以及其驱动芯片74LS48 (6) 2.3.4 74LS175四路D触发器 (7) 2.3.5 555定时器 (8) 2.3.6 集成门电路 (8) 2.3.7 无源蜂鸣器 (8) 3.原理分析 (9) 3.1 抢答必答模式选择及其指示电路 (9) 3.2 抢答电路 (9) 3.3 脉冲产生电路 (10) 3.4单稳态定时电路 (11) 3.5 定时电路 (12) 3.6 音响电路 (13) 3.7整机电路分析 (13) 3.8加减分数电路 (14) 4.设计总结 (15)

1.绪论 1.1设计目的 1、注重培养学生正确的设计思想,掌握课程设计的主要容、步骤和方法。 2、巩固加深对电子技术基础知识的理解,培养学生发现问题、独立分析问题、解决问题,提高综合运用所学知识的能力。 3、通过查找资料、选方案、设计电路、写报告等环节的训练,熟悉设计的过程、步骤。为以后从事电子电路设计、研制电子产品打下基础。 4、了解电子线路设计的工程,学会书写设计说明书。 5、培养学生严肃、认真的科学态度和工作作风。 6、在课余实践,有效地激发学生对电子设计的兴趣,丰富课外生活。 7、培养学生自主学习能力,扩展知识面。 8、提高动手能力的同时对常用的集成芯片有一定的了解,在电路设计方面有感性的认识。 9、另外还要掌握电路原理和分析电路设计流程,每个电路的设计都要有完整的设计流程。这样才能在分析电路有良好的思路,便于找出错的原因。 1.2 设计要求 用TTL或CMOS集成电路设计智力竞赛抢答器逻辑控制电路,具体要求如下: 1. 抢答组数为4组,输入抢答信号的控制电路应由无抖动开关来实现。 2. 判别选组电路。能迅速、准确地判处抢答者,同时能排除其它组的干扰信号,闭锁其它各路输入使其它组再按开关时失去作用,并能对抢中者有光、声显示和呜叫指示。 3. 计数、显示电路。每组有三位十进制计分显示电路,能进行加/减计分。 4. 定时及音响。必答时,启动定时灯亮,以示开始,当时间到要发出单音调“嘟”声,并熄灭指示灯。抢答时,当抢答开始后,指示灯应闪亮。当有某组抢答时,指示灯灭,最先抢答一组的灯亮,并发出音响。也可以驱动组别数字显示(用数码管显示)。 2.方案设计 2.1系统工作流程图 系统流程图如2.1所示,控制电路是核心组成部分,它控制抢答电路、音响电路、指示灯电路以及定时电路。主要由门电路与门、与非门、或门等实现控制逻辑。主持人和参赛选手都是通过按钮输入控制信号到控制电路,通过控制电路的逻辑实现对各个模块的控制。1K脉冲主要用于触发器时钟,秒脉冲主要用于计时器。

EDA课程设计—四人抢答器设计

摘要 现代生活中,数字电路产品与我们接触的是越来越平凡了,包括计算机、电子表、智能仪器表及其它很多领域中,它给我们带来的不仅是工作上的方便,而且也给我们的生活娱乐添滋加彩。这次EDA课程设计中,我做的是四人抢答器,基于设计要求,本文主要是从锁存器及计数器功能和VHDL语言着手,但侧重点在用VHDL语言上。首先简单介绍一下数字电路、EDA、VHDL等的有关知识,其次介绍了一下设计要求和我的设计构想,再运用VHDL语言特点,写出程序代码,最后是一些总结和抢答器部分实验电路图与倒计时设计的电路图和用MAX+PLUSII软件仿真的结果部分图附录等部分。 关键词:置位;复位;锁存;计数器;七段显示器;MAX+PLUSII;译码器 目录 摘要: (1) 引言: (2) 一、设计任务及要求: (2) 二、题目分析与整体构思: (2) 三、VHDL程序设计: (3) 四、心得体会及模型评价与推广: (5) 附录: (6) 参考文献: (10)

引言 数字电路主要是基于两个信号(我们可以简单的说是有电压和无电压),用数字信号完成对数字量进行算术运算和逻辑运算的电路我们称之为数字电路,它具有逻辑运算和逻辑处理等功能,数字电路可分为组合逻辑电路和时序逻辑电路。 EDA技术又称电子设计自动化,它是为解决自动控制系统设计而提出的,从70年代经历了计算机辅助设计(CAD),计算机辅助工程(CAE),电子系统设计自动化(ESDA)3个阶段。前两个阶段的EDA产品都只是个别或部分的解决了电子产品设计中的工程问题;第三代EDA工具根据工程设计中的瓶颈和矛盾对设计数据库实现了统一管理,并提出了并行设计环境概念,提供了独立于工艺和厂家的系统级的设计工具。 VHDL(VERY HIGH SPEED INTEGRA TED CIRCUIT HARDW ARE DESCRIPTION LANGUAGE)语言最早是有美国国防部提出的,它支持行为领域和结构领域的硬件描述,并且可以从最抽象的系统级一直到最精确的逻辑级,在描述数字系统时,可以使用前后一致的语义和语法跨越多个层次,并且使用跨越多个级别的混合描述模拟该系统。因此,它可以由高层次行为描述子系统及低层次详细实现子系统所组成的系统模拟。它有两个版本IEEEStd1076-1987[LRM87]和IEEEStd1076-1993[LRM93],他们并不完全兼容,但做一些修改就可以兼容了。 许多公司都为VHDL开发出了编译和仿真软件,其中Max+plusII(或写成Maxplus2,或MP2) 是Altera公司推出的的第三代PLD开发系统(Altera第四代PLD开发系统被称为:QuartusII,主要用于设计新器件和大规模CPLD/FPGA).使用MAX+PLUSII的设计者不需精通器件内部的复杂结构。设计者可以用自己熟悉的设计工具(如原理图输入或硬件描述语言)建立设计,MAX+PLUSII把这些设计转自动换成最终所需的格式。其设计速度非常快。对于一般几千门的电路设计,使用MAX+PLUSII,从设计输入到器件编程完毕,用户拿到设计好的逻辑电路,大约只需几小时。设计处理一般在数分钟内内完成。特别是在原理图输入等方面。 一、设计任务及要求: 本设计要求做一个四人抢答器,并要求当有某一参赛者首先按下抢答开关时,相应 显示灯亮并报警,此时抢答器不再接受其他输入信号。电路具有回答问题时间控制功能。要求回答问题时间小于等于100s(显示0-99),时间采用倒计时方式。当到达限定时间,发出警告。 二、题目分析与整体构思: 对于一个四人抢答器,四个选手在电路中的起始控制作用是一样的,当裁判员宣布开始抢答时,谁先按下他前面的控制开关,他的灯就会亮,而且这时其他人再怎么按,也就不会亮了,说明每个人对其他人都有一个先发制人的作用,及每个人都在时间控制下,能锁存住其他选手的功能。当有一个指示灯亮了,计数器就开始从99开始倒计时,到0时还要警告声,这样计数器开始工作就是在指示灯的指示下工作。 可以设四个人分别为输入端A,B,C,D;因为四个输入端在VHDL中,要求四个输入端

多路抢答器设计

课程设计报告 学生姓名:刘科学号:2014303010328 学院:电气工程学院 班级: 电自1418 题目: 多路抢答器设计 指导教师:杨修宇职称: 助理实验师 指导教师:张光烈职称: 副教授 2016年 7 月 7日

一.设计要求 设计一台四路抢答器,具体要求如下: (1)抢答开始时,由主持人按下复位开关清除信号,用发光二极管作为输出显示信号标志。 (2)当主持人宣布“抢答开始”后,先按键者相应的发光二极管点亮; (3)有人按键被响应的同时,应有信号发出去锁住其余几个抢答者的电路,不再接收其它信号,直到主持人再次清除信号为止。当达到限定时间时,发出声响以示警告。 (4)在电路中设计一个计时功能电路,要求计时电路按秒显示,最多时限为1分钟,当时间显示一旦到达59秒,下一秒系统自动取消抢答权,信号被自动清除,抢答重新开始。亦可倒计时显示。 二.设计原理及框图 如图1所示为四路抢答器的电路框图。其工作原理为:接通电源后,主持人将开关拨到“开始”状态,抢答器处于禁止状态,编号显示器灭灯,定时器显示设定时间;主持人将开关置“开始”状态,宣布"开始"抢答器工作。定时器倒计时,扬声器给出声响提示。选手在定时时间内抢答时,可以通过按按钮的快慢来决定由谁来回答,按得快的选手的编号显示在电子显示管上,抢答器完成(优先编码判断、编号锁存、编号显示、扬声器提示)。当一轮抢答之后,定时器停止、禁止二次抢答、定时器显示剩余时间。当一轮抢答时间结束后而四位选手没有抢答,定时器显示为零。如果再次抢答必须由主持人再次操作“开始”和“开始”状态开关,主持人按下开关后所有的显示及工作状态回到初始状态,以便进行下一次答题。 整个电路框图主要分为抢答电路和倒计时电路两部分,其中抢答器电路由编码器电路,触发器电路,译码器电路,数码管显示电路组成。译码电路用来译出编码,数码显示部分用来显示按下的选手号码。另一部分倒计时电路用来显示选手抢答剩余时间,由减法计数器和时钟振荡电路构成。

抢答器(-Verilog-HDL实现)

抢答器(-Verilog-HDL实现)

桂林电子科技大学信息科技学院《EDA技术与应用》实训报告 学号0952100110 姓名赵万里 指导教师:江国强杨艺敏 2011年04月20日

实训题目:智能电子抢答器 1.系统设计 1.1 设计要求 1.1.1 设计任务 设计并制作一台智能电子抢答器。 1.1.2 技术要求 ①用EDA实训仪的I/O设备和PLD芯片实现智能电子抢答器的设计。 ②智能电子抢答器可容纳4组参赛者抢答,每组设一个抢答钮。 ③电路具有第一抢答信号的鉴别和锁存功能。在主持人将复位按钮按下后开始抢答,并用EDA实训仪上的八段数码管显示抢答者的序号,同时扬声器发出“嘟嘟”的响声,并维持3秒钟,此时电路自锁,不再接受其他选手的抢答信号。 ④设计一个计分电路,每组在开始时设置为100分,抢答后由主持人计分,答对一次加10分,答错一次减10分。 ⑤设计一个犯规电路,对提前抢答和超时抢答者鸣喇叭示警,并显示犯规的组别序号。 1.2 方案比较 (1) 设计制作一个可容纳四组参赛者的数字智力抢答器,每组设置一个抢答按钮。 (2) 电路具有第一抢答信号的鉴别和锁存功能。在主持人按下复位按钮后,若参加者按抢答开关,则该组指示灯亮。此时,电路应具备自锁功能,使别组的抢答开关不起作用。

(3)自锁后,用八段数码管显示抢答者的序号,同时指示灯亮。(4) 设置计分电路。每组在开始时预置成100,抢答后由主持人计分,答对一次加10,否则减10分。 (5)电路具有淘汰功能,当每组的100分减少到0时,该组被淘汰,以后每次抢答,该组抢答按钮都无效。 1.3 方案论证 1.3.1 总体思路 总体分为四部分:倒计时模块、计分模块、抢答模块和分屏显示模块。 倒计时模块用一个20000000分频。产生一个进位,连接一个30的减法计数器构成。 计分模块中分为两部分,一部分用于计分,另一部分用于处理计数器的进制问题,当加到A时自动向前进位,并且把A变为0。当减少到F时,向前借位,并且把F变为9。这就实现了把十六进制变成一般用的十进制。 抢答模块分两部分,复位模块和抢答模块,每次抢答都要初始化按键。抢答模块又分为误抢,无人抢答和正确抢答。每次抢答,当有一组按下抢答,立即锁定,亮相应的分数和组号,并且亮起各种抢答所对应的灯信号。(即所要求的蜂鸣器) 分屏显示模块中用每次抢答的组号作为输入,当抢答后立即换屏显示其组号分数。 1.3.2 设计方案 (1)根据设计要求需要一个时钟的接入端clk,清除端clrn,使倒

多路抢答器毕业论文

毕业设计(论文)课题: 学生: 系部: 班级: 学号: 指导教师: 装订交卷日期: 装订顺序: (1)封面(2)毕业设计(论文)成绩评定记录(3)标题、中文摘要及关键词(4)正文(5)附录(6)参考文献

毕业设计(论文)成绩评定记录表 指导教师评语(包含学生在毕业实习期间的表现): 成绩(平时成绩): 指导教师签名: 年月日 评阅教师评语: 成绩(评阅成绩): 评阅教师签名: 年月日 答辩情况记录: 答辩成绩: 答辩委员会主任(或答辩教师小组组长)签名: 年月日 总评成绩: 注:1.此表适用于参加毕业答辩学生的毕业设计(论文)成绩评定; 2.平时成绩占20%、卷面评阅成绩占50%、答辩成绩占30%,在上面的评分表中,可分别按20分、50分、30分来量化评分,三项相加所得总分即为总评成绩,总评成绩请转换为优秀、良好、中等、及格、不及格五等级计分。 教务处制

毕业设计(论文)成绩评定记录表 指导教师评语(包含学生在毕业实习期间的表现): 成绩(平时成绩): 指导教师签名: 年月日 评阅教师评语: 成绩(评阅成绩): 评阅教师签名: 年月日 总评成绩: 注:1.此表适用于不参加毕业答辩学生的毕业设计(论文)成绩评定; 2.平时成绩占40%、卷面评阅成绩占60%,在上面的评分表中,可分别按40分、60分来量化评分,二项相加所得总分即为总评成绩,总评成绩请转换为优秀、良好、中等、及格、不及格五等级计分。 教务处

基于单片机的简易多路智力抢答器 摘要 抢答器是一种应用非常广泛的设备,在各种竞赛、抢答场合中,它能迅速、客观地分辨出最先获得发言权的选手。早期的抢答器只由几个三极管、可控硅、发光管等组成,能通过发光管的指示辨认出选手号码。现在随着电子技术的发展,大多数抢答器均已使用单片机来实现抢答功能,具有功能齐全,电路简单,成本低,性能高等优点。单片机以其较高的可靠性、准确性和可拓展性受到越来越广泛的应用。本设计就是基于MCS-51单片机为控制核心,结合周边电路实现的一个简易多路智力抢答器。 关键词:抢答器;单片机;功能

基于PLC四路抢答器的设计毕业设计

毕业设计设计任务书 设计题目: 基于PLC四路抢答器的设计 设计要求: 1. 抢答器可同时供四组选手参加比赛 2. 主持人有三个控制按钮,用来控制抢答开始、复位和答题计时的开始。 3.每当主持人发出开始抢答指令后,那组选手最先按下抢答按钮,则数码管1就显示该组的编号,同时绿色指示灯亮,音响电路给出信箱提示信号(持续3S),以指示抢答成功,并对其后的抢答信号不再响应。选手答题完毕后,由主持人按下复位按钮,系统才能开始下一轮抢答。 4.违规抢答:若选手在未开时抢答试题时抢答了,则视为违规,违规时数码管1显示其编号,同时红灯亮,音响电路发出声响。 5.抢答限时:当主持人按下开始按钮后,定时器T0开始计时(设定30S)。若30S时限到仍无人抢答,则黄灯亮、音响电路3发出声响,以示选手放弃该题。 6.答题限时:在抢答成功后,主持人按下答题计时开始按钮,同时数码管2、3上显示答题倒计时时间(该时间设定为50S),选手必须在设定的时间内完成答题。否则,音响电路发出答题超时报警信号 设计进度要求: 第一、二周:确定题目,查阅资料,根据要求分析抢答器的设计、工作原理。 第三、四周:根据工作原理画流程图并编译梯形图,并进行硬件设计。 第五、六周:对软件设计,进行上机调试,找出问题,进行修改,并改进设计。 第七、八周:撰写论文,毕业答辩。 指导教师(签名)

摘要 近年来随着科技的飞速发展, PLC的应用不断地走向深入,同时带动传统的控制检测技术的不断更新,可编程控制器由于其优良的控制性能,极高的可靠性,在各行各业中的应用日益广泛普及。对于抢答器其广泛用于电视台、商业机构、企事业工会组织、俱乐部及学校等单位组织举办各种知识、技术竞赛及文娱活动时作抢答之用,为竞赛增添了刺激性、娱乐性,在一定程度上丰富了人们的业余生活,并且给人的视觉效果非常好,是各单位开展素质教育、精神文明、娱乐活动的必备产品。 本次设计是利用PLC(Programmable Logic Controller)对PLC控制的四路智力抢答器进行控制。首先,选择这个题目之后,我对本次设计进行了全面的思考。使自己对本次设计有一个大致的总体思路,然后仔细分析PLC控制的四路智力抢答器的工作原理,以及它的一些工作过程,分析后得出它主要需要完成主持人的控制、选手的抢答、报警、计时及输出显示功能等。考虑到只是PLC控制的四路智力抢答器则输出端口需要25个,输入端口需要7个,由于PLC具有可靠性高、体积小、通用性、使用方便等优点,因此,我决定选用SIMATIC S7-200 系列的CPU226和数字量扩展模块EM223作为本次设计的PLC。具有方便灵活,维护使用方便等特点。 关键词:智力控制,四路抢答器, PLC

多路抢答器的课程设计

多路抢答器课程设计报告 专业:电子信息工程 课程:多路抢答器设计 学生姓名学号:201312700053方秋实 201312700107李炳均 201312700103吴桐光 201312700102钟燏 201312700078孔健 2016年 6月

多路抢答器设计 摘要随着电子技术的飞速发展,基于单片机的控制系统已应用于工业、农业、 电力、电子、智能楼宇等行业,微型计算机作为嵌入式控制系统的主体与核心,代替了传统的控制系统的常规电子线路。 抢答器作为一种工具,已广泛应用于各种智力和知识竞赛场合。但抢答器的使用频率较低,且有的要么制作复杂,要么可靠性低。作为一个单位,如果专门购一台抢答器虽然在经济上可以承受,但每年使用的次数极少,往往因长期存放使(电子器件的)抢答器损坏,再购置的麻烦和及时性就会影响活动的开展,因此设计了本抢答器。 本设计是以四路抢答为基本理念。考虑到依需设定限时回答的功能,利用STC89C52单片机及外围接口实现的抢答系统,利用单片机的单线程无线循环的原理,将软、硬件有机地结合起来,使得系统能够正确地进行计时。同时使数码管能够正确地显示时间,并且给出指令的提示。系统能够实现:在抢答中,只有开始后抢答才有效,如果在开始抢答前抢答为无效;抢答限定时间和回答问题的时间可在20S设定;可以显示是哪位选手有效抢答,正确回答后,主持人按下加分按键,基本分是选手分数加10分,选手答错扣10分;回答问题时间倒记时显示,倒计时完后系统自动跳回时钟模式。 关键词:STC89C52;单片机;数码管;抢答器;智能 1 引言 目前各种各样的竞赛越来越多,无论是学校、工厂、军队还是益智性电视节目,其中用到抢答器的概率非常大。目前很多抢答器基本上采用小规模数字集成电路设计,使用起来不够理想。因此设计一款更易于使用和区分度高的抢答器成了非常迫切的任务。现在单片机已进入各个领域,以其功耗小、智能化而著称。所以若利用单片机来设计抢答器,便使以上问题得以解决。针对以上情况,本课程设计出以STC89C52单片机为核心的多路抢答器。它能根据不同的抢答输入信号,经过单片机的控制处理并产生不同的与输入信号相对应的输出信号,最后通过数码管显示相应的路数和答题时间以及各项命令等,使竞赛真正达到公正、公开、公平。

电子抢答器控制系统的设计 -

抢答器控制系统的设计 院系: xxx 姓名:xxx 学号:xxx 专业:xxx 指导教师:xxx 成绩评定:

此次设计采用AT89C51单片机为核心控制元件,设计一个简易的抢答器,本方案以AT89C51单片机作为主控核心,与MAX232、发光二极管、数码管、蜂鸣器等构成硬件操作,再利用C语言编程,来控制抢答器的功能实现。本设计的系统实用性强、判断精确、操作简单、扩展功能强。 关键字:STC89C52单片机、动态显示、中断 1

摘要 (1) 1 系统方案总体设计 (4) 1.1控制芯片 (4) 1.2数据显示 (4) 1.3原理框图 (4) 2 系统硬件设计 (5) 2.1 AT89C51的组成及管脚介绍 (5) 2.2 电路设计 (7) 2.2.1 抢答器电路 (7) 2.2.2 发声电路 (8) 2.2.3 开始、复位按键输入电路的设计 (8) 2.2.4 时钟振荡电路 (9) 2.2.5 设定时间、加减时间电路 (9) 2.2.6 显示电路 (10) 3 系统程序设计 (11) 3.1 抢答器工作流程 (11) 3.2 流程图 (11) 4 Proteus仿真设计 (12) 4.1 利用Proteus仿真软件建立系统仿真模型 (12) 4.2 开始抢答 (12) 4.3 非法抢答 (13) 2

4.4 正常抢答 (13) 参考文献 (14) 附录 (14) 3

1 系统方案总体设计 1.1控制芯片 采用51系列单片机AT89C51作为控制核心,该系统可以完成运算控制、信号识别以及显示功能的实现。单片机技术比较成熟,应用起来方便、简单并且单片机周围的辅助电路也比较少,便于控制和实现。整个系统具有极其灵活的可编程性,能方便地对系统进行功能的扩张和更改。 MCS-51单片机特点如下:a、可靠性好:单片机按照工业控制要求设计,抵抗工业噪声干扰优于一般的CPU,程序指令和数据都可以写在ROM里,许多信号通道都在同一芯片,因此可靠性高,易扩充。b、单片机有一般电脑所必须的器件,如三态双向总线,串并行的输入及输出引脚,可扩充为各种规模的微电脑系统。c、控制功能强:单片机指令除了输入输出指令,逻辑判断指令外还有更丰富的条件分支跳跃指令。1.2数据显示 在实现基本功能时,我们采用了四位数码管显示,后两位显示倒计时时间,第一位显示抢答组号,第三位置空,在此基础上还在程序里加了更改抢答时间和倒计时时间的模块,也可通过数码管显示,成为一个比较完整的系统。 1.3原理框图 图1-1 原理框图 4

竞赛抢答器的设计毕业论文

竞赛抢答器的设计毕业论文

目录 第一章引言 (1) 第二章概述 (2) 2.1PLC的发展史 (2) 2.1.1 PLC的由来 (2) 2.1.2 可控制编程器的发展 (2) 2.1.3 可控制编程器的发展趋势 (3) 2.2PLC的用途与特点 (3) 2.2.1 PLC的用途 (3) 2.2.2 PLC的特点 (4) 2.3PLC的主要原理和组成 (6) 2.3.1PLC的主要原理 (6) 2.3.2 PLC的组成部分 (6) 第三章竞赛抢答器的设计方案和思路 (8) 3.1PLC抢答器的控制要求: (8) 3.2硬件设计方案 (8) 3.2.1 控制特点分析 (8) 3.2.2 I/O配线图 (8) 3.2.3 答题流程图4-1: (10) 3.2.4 PLC机型的选择步骤与原则 (11) 3.3软件设计方案 (12) 3.3.1设计假设: (12) 3.3.2梯形图的设计及分析: (13) 3.4程序的下载、安装和调 (17) 第四章总结 (21) 致谢 (22) 参考文献 (23)

可编程序控制器(PLC) 是一种新型的通用的自动控制装置,它将传统的继电器控制技术、计算机技术和通讯技术融为一体,是功能加强、编程简单、使用方便以及体积小、重量轻、功耗低等一系列优点。 近年来随着科技的飞速发展,PLC的应用正在不断地走向深入,同时带动传统控制检测日新月益更新。在实时检测和自动控制的PLC应用系统中,PLC往往是作为一个核心部件来使用,仅PLC方面知识是不够的,还应根据具体硬件结构,以及针对具体应用对象特点的软件结合,加以完善。 PLC的应用领域已经拓宽到了各个领域,在日常生活中,智能抢答器广泛的应用于各种竞赛和抢答场合。越来越多的抢答器投入市场,可是大部分的抢答器主要采用的是单片机系统;而单片机系统由于稳定性不高,基于此,我们采用了S7-200 PLC来实现智能抢答器控制系统的设计。

基于单片机的6路抢答器设计毕业设计论文

毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作者签名:日期: 指导教师签名:日期: 使用授权说明 本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名:日期:

学位论文原创性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。 作者签名:日期:年月日 学位论文版权使用授权书 本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。 涉密论文按学校规定处理。 作者签名:日期:年月日 导师签名:日期:年月日

PLC抢答器(完结版本)

菏泽学院蒋震机电工程学院 菏泽学院本科生课程设计(论文)任务书 设计(论文)题目儿童教授对决赛抢答器 院、系专业蒋震机电工程学院自动化学生姓名门学明学号 201017165 矚慫润厲钐瘗睞枥庑赖。 指导教师姓名侯建华职称副教授 注:1. 任务书由指导老师填写. 2. 任务书必须在第七学期第13周前下达给学生

目录 课程设计任务书 (1) 儿童、教授对决赛抢答器 (2) 摘要 (2) 关键词 (2) Children,Professor finals Responde (2) ABSTRACT (2) Key words (2) 1课程设计目的 (3) 1.1 课程设计使用的仪器和器件 (3) 1.1.1 定时器 (4) 1.1.2 三极管 (5) 1.2 PLC的简介…………………………………………………………………… 1.3 PLC的特点……………………………………………………………………… 2 课程设计方法和内容 (7) 2.1 封锁电路 (7) 2.2 定时电路 (9) 2.3 报警电路 (10) 2.4.程序源代码 (13) 3 儿童、教授对决赛抢答器总电路图………………………………………… 4 系统功能调试………………………………………………………………… 5 参考文献 (16)

儿童、教授对决赛抢答器 自动化专业学生门学明 指导教师侯建华 摘要: 抢答器是在知识竞赛、文体娱乐活动(抢答活动)中,能准确、公正、直观的判断出抢答者的机器。通过抢答者所处位置的指示灯显示、语音提醒、数字显示、警示显示等手段筛选出抢答违规者或一个抢答成功者。此设计一个抢答器,在抢答比赛中,儿童二人参赛且其中任一人按钮可抢得,学生一人组队。教授二人参加比赛且二人同时按钮才能抢得。主持人宣布开始后方可按抢答按钮。主持人台设复位按钮,抢得及违例由各分台灯指示。有人抢得时有幸运彩球转动,违例时有警报声。 关键词:封锁电路定时电路三极管 Children,Professor finals Responder Student majoring in Automation majormenxueming Tutor houjianhua ABSTRACT: Responder is a knowledge contest, sports and entertainment activities (Responder activities) in an accurate, fair and intuitive determine the answer in those machines. Answer by location through the lights display, voice reminders, digital display, warning display and other illegal means to filter out the answer or an answer winner. The design of a responder responder, in the game, children two contestants and any button can have a team of people, students. Professor two people to participate in the competition and the two people at the same time button to grab. The moderator announced before by the answer button. The host set reset button, grab and violation by the lamp indicator. Some people have a lucky ball rotation, violation when the sirens酽锕极額閉镇桧猪訣锥。 Key words:Blocking circuit Timing circuit Transistor

多路智力抢答器程序

实验四多路智力抢答器 一、实验目的 1.熟悉智力竞赛抢答器的工作原理 2.掌握抢答电路、优先编码电路、锁存电路、定时电路、报警电路、时序控制电路、 译码电路、显示电路及报警电路的设计方法 二、实验任务 基本功能 1.设计一个多路智力竞赛抢答器,同时供8个选手参赛,编号分别为0到7,每个用 一抢答按键。 2.给节目主持人一个控制开关,实现系统清零和抢答的开始。 3.具有数据锁存和显示功能。抢答开始后,如果有选手按下抢答按键,其编号立即锁 存并显示在LED上,同时扬声器报警。此外,禁止其他选手再次抢答。选手编号一直保存到主持人清除。 扩展功能 1.具有定时抢答功能,可由主持人设定抢答时间。当抢答开始后,定时器开始倒计时, 并显示在LED上,同时扬声器发声提醒。 2.选手在规定时间内抢答有效,停止倒计时,并将倒计时时间显示在LED上,同时 报警。 3.在规定时间内,无人抢答时,电路报警提醒主持人,次后的抢答按键无效。 三、方案设计 1.原理框图: 抢答按键优先编码器数据锁存器显示译码抢答显示 主持人 时序控制电路报警电路 控制开关 脉冲产生电路定时电路显示译码定时显示 2.原理简述

定时抢答器的总体框图如上图所示,它由主体电路和扩展电路两部分组成。主体电路完成基本的抢答功能,即开始抢答后,当选手按动抢答键时,能显示选手的编号,同时能封锁输入电路,禁止其他选手抢答。扩展电路完成定时抢答的功能。 定时抢答器的工作过程是:接通电源时,节目主持人将开关置于“清除”位置,抢答器处于禁止工作状态,编号显示器灭灯,定时器倒计时。当定时时间到,却没有选手抢答时,系统报警,并封锁输入电路,禁止选手超时后抢答。当选手在定时时间内按动抢答键时,抢答器要完成以下四项工作:①优先缎电路立即分辨出抢答者的编号,并由锁存器进行锁存,然后由译码显示电路显示编号;②扬声器发出短暂声响,提醒节目主持人注意;③控制电路要对输入编码电路进行封锁,避免其他选手再次进行抢答;④控制电路要使定时器停止工作,时间显示器上显示剩余的抢答时间,并保持到主持人将系统清零为止。当选手将问题回答完毕,主持人操作控制开关,使系统回复到禁止工作状态,以便进行下一轮抢答。 四、电路设计 1.抢答部分 电路功能:一是将抢答选手的编号识别出并锁存显示到数码管上,二是使其他选手按键无效;三是有人抢答时输出时序控制信号,使计数电路停止工作并报警。 原理图: 与其他电路的接口: S:输入,与主持人总控相接,此处控制数码管的清零; /ST:输入,74148的使能控制端(由7400输入); /YEX:输出,报警时序控制(与74121相连); CTR:输出,报警时序控制(与7400相连); 具体原理:该部分主要由74148优先编码器、锁存器74279、译码器7448组成和按键、

相关主题
文本预览
相关文档 最新文档