当前位置:文档之家› 实验报告:通信系统综合实验

实验报告:通信系统综合实验

实验报告:通信系统综合实验
实验报告:通信系统综合实验

目录

实验一局域网接入实验 (1)

一:实验目的 (8)

二:实验器材 (8)

三:实验原理 (8)

四:实验内容及结果分析 (9)

五:思考题 (21)

实验二数字基带仿真实验 (24)

一:实验目的 (24)

二:实验器材 (24)

三:实验原理 (24)

四:实验内容及结果分析 (25)

五:思考题 (32)

实验三语音传输 (34)

一:实验目的 (34)

二: 实验器材 (34)

三:实验原理 (34)

四:实验内容及结果分析 (35)

五:思考题 (37)

实验四通信传输的有效性与可靠性分析 (1)

一:实验目的 (1)

二: 实验器材 (1)

三:实验原理 (1)

四:实验内容及结果分析 (3)

五:思考题 (5)

实验五无线多点组网实验四局域网接入实验 (39)

一:实验目的 (39)

二: 实验器材 (39)

三:实验原理 (39)

四:实验内容及结果分析 (41)

五:思考题 (43)

参考文献 (45)

实验一局域网接入实验

实验一局域网接入实验

一:实验目的

理解无线终端设备接入局域网或者Internet的工作过程,了解计算机通信网和Windows 设备驱动程序的知识。了解串口通信的过程。以蓝牙为例,理解局域网从有线接入到无线接入实现原理。

二: 实验器材

服务器端(AP)

硬件:PC机一台,带USB口的蓝牙模块,USB电缆一根。

软件:Windows 2000或 Windows 操作系统, TTP局域网接入实验服务器版软件。

客户端(DT)

硬件:PC机一台,带USB口的蓝牙模块,USB电缆一根。

软件:Windows 2000或 Windows 操作系统, TTP局域网接入实验客户版软件。

三:实验原理

3.1无线局域网

1) 计算机局域网。把分布在数公里内的不同物理位置的计算机设备连在一起,在网络

软件的支持下可以相互通讯和资源共享的网络系统。通常为有线局域网。

2) 局域网体系结构(IEEE 802参考模型)

只包含最低的两个层次

数据链路层分为媒体接入控制MAC(Medium Access Control)和逻辑链路控制LLC(Logical Link Control)两个子层(MAC和LLC的相关内容可参考数据传输实验)

还包括了对传输媒体和拓扑结构的规格说明.

3.2无线网接入标准

无线接入区别于有线接入的特点之一是标准不统一,不同的标准有不同的应用。下面是几种最热门的无线局域网标准:

1

通信系统综合实验

IEEE 802.11

HomeRF

蓝牙(Bluetooth)

3.3基于蓝牙技术的局域网接入系统

1) 基于蓝牙技术的局域网接入系统主要由两部分组成:

局域网接入点(LAP,LAN Access Point)提供接入局域网的服务(例如以太网,令牌环网络,光纤信道,有线电视同轴电缆网络,1394和USB网络等等)。LAP提供PPP服务器的功能,在RFCOMM协议的基础上使用PPP连接,RFCOMM承载PPP数据报并提供对这些数据流的控制控制。

数据终端(Data Terminal,DT)使用LAP提供的服务,典型的设备是笔记本电脑。它作为PPP客户端,建立对LAP的PPP连接,以获得对LAN的访问。

2) 蓝牙局域网接入应用的系统结构。

在本实验中,数据终端与接入点都是PC机,采用第一个应用场景。局域网接入点(LAP)利用蓝牙RFCOMM协议层提供的串口,在其上叠加PPP协议和TCP/IP等网络层协议。PPP网络将IP包从PPP层或放入,并送入相应的局域网中。蓝牙LAP设备作为PPP服务器,提供无线接入局域网的服务。

3) 蓝牙数据终端(DT)接入到局域网的工作流程。终端通过某个应用程序发现附近的LAP 终端发起PPP/RFCOMM/L2CAP的建链过程

通过适当的PPP协商机制,LAP和DT将被分配各自IP地址

DT和LAP通过IP数据流进行网络通信

通信完毕后,数据终端和局域网接入点都可以主动拆除PPP链路,中止通信。

3.4串口通讯与PPP协议

1) 串口通讯标准:EIA-232-E接口标准

EIA-232-E是美国电子工业协会(EIA)制订的著名的物理层标准。它是由1962年制订的RS-232标准发展而来的。定义了传输线机械特性、电气特性、引脚功能等。EIA-232-E是DTE与DCE 之间的接口标准。

2) PPP协议组成部分

PPP协议(Point-to-Point Protocol)是在串行线路网际协议(SLIP)的基础上发展起来的,它有三个组成部分:

2

实验一局域网接入实验

一个将IP数据报封装到串行链路的方法。PPP既支持异步链路,也支持面向比特的同步链路。

一个用来建立、配置和测试数据链路连接的链路控制协议LCP(Link Control Protocol)。通信双方可以协商一些选项。[RFC 1661]中定义了11种类型的LCP分组。

一套网络控制协议NCP(Network Control Protocol),支持不同的网络层协议,如IP,OSI的网络层,DECnet,AppleTalk等。

3.5 IP与网络互连

1) 网络互连设备

在网络互连中使用到多种连接设备,不同连接设备的主要区别在于它们连接面对的网络层次不同。下面列出了一些主要连接设备及其面对的网络层次

转发器(repeater)物理层

网桥或桥接器(bridge)数据链路层

路由器(router)网络层

网桥和路由器的混合体桥路器(brouter)

网关(gateway)网络层以上

2) IP协议及其配套协议

网络层在网络互连中起着重要的作用,网络层的主要协议是IP协议及其配套协议。网际协议IP是TCP/IP体系中两个最主要的协议之一,与IP协议配套使用的还有三个协议:

Internet控制报文协议 ICMP:作用是减少分组丢失,提高发送效率。ICMP允许主机或路由器将异常情况以ICMP报的形式写入IP数据报中,向其他主机发送。

地址解析协议ARP:由IP地址获得物理地址。

反向地址解析协议RARP:由物理地址获得IP地址。

3.6 IP层路由选择机制

根据查路由表的结果转发

路由表是基于网络号的(也允许指明主机路由)

四:实验内容及结果分析

实验内容如下:

1.利用串口电缆进行有线接入

3

通信系统综合实验

4

两台PC 机一组,一台作为服务器,一台作为客户端,通过直接电缆连接,在Windows2000环境下,进行局域网接入实验 。 (1)用串口电缆连接两台计算机;

(2)服务器端和客户端分别配置“传入的连接”和“直接连接”;

(3)配置串口参数, 如波特率、流控参数等,理解串口参数设置对串口通信的影响; (4)在所连接的串口上配置虚拟调制解调器; (5)配置网络参数,如PPP 鉴权,TCP/IP 设置等; (6)通过Windows 直接电缆连接,进行各种网络应用。

(7)观察并分析有线终端设备接入Internet 的过程中通信协议的主要工作流程,利用操作系统提供的命令验证地址解析协议ARP 和路由选择的工作过程,理解终端接入局域网时网络层路由的作用。

2.用蓝牙无线平台实现无线接入

以蓝牙为无线平台,在Windows2000环境下,进行局域网无线接入实验。 (1)连接蓝牙硬件,安装相应驱动程序,理解相关驱动程序在接入实验中的主要作用; (2)配置虚拟调制解调器、PPP 网络配置等相关参数; (3)通过辅助程序,配置蓝牙连接,进行各种网络应用;

(4)观察并分析无线终端设备接入Internet 的过程中通信协议的主要工作流程,利用操作系统提供的命令验证地址解析协议ARP 和路由选择的工作过程,理解终端接入局域网时网络层路由的作用。 实验记录如下:

1.在AP 上运行 ipconfig /all ,记录以太网接口和PPP 接口的物理地址

图1 ipconfig/all 运行结果

实验一 局域网接入实验

5

以太网接口和PPP 接口的物理地址如图1所示,以太网接口的物理地址为:00-0A-EB-5F-02-58,PPP 接口的物理地址为:00-53-45-00-00-00。

2.在局域网的另一台主机上,分别ping 通AP 以太网接口、AP PPP 网络接口、终端的IP 地址,再执行arp-a 命令,记录输出的结果,并解释出现此结果的原因。

输出结果如图2所示,输出结果为:No ARP Entries Found 。出现此结果的原因是此局域网仅仅是两台计算机之间点对点的连接,不存在ARP 缓存,如果连到公网上,就会存在ARP 缓存。

图2 arp –a 运行结果

1. 在在AP 上运行route print ,记录输出内容。

输出内容如图3所示。

图3 route print 运行结果

五:思考题

1.在充当服务器(AP)的计算机上执行route print 命令后,输出的结果中各项是如何含义? 答:Network Destination ,Netmask ,Gateway ,Interface ,Metric 分别表示:目的地址、目的掩码(这两项构成一个网络),网关地址(下一跳),这条路由的优先级(一般没有用途)。

通信系统综合实验

第一条,127.0.0.0,本机loopback;

第二条,搜索本机地址,loopback;

第三条,本网广播;

第四条,全网广播,本机发出广播。

6

7

通信系统综合实验

实验二数字基带仿真实验

一、实验目的

1. 理解差错控制方法、差错控制编码分类及其纠检错能力;了解差错控制编码的生成和

纠检错方法。

2. 理解扩频通信(特别是跳频扩频通信)的基本概念、原理及其优缺点。

3. 理解两种加密体制的同异;了解保密通信的全过程,以及密钥在保密通信中的作用。

二、实验器材

软件:Windows 2000操作系统,TTP基带仿真软件,Visual C++。

硬件:PC机一台。

三、实验原理

1. 差错控制的基本原理

通信信道中噪声的存在,使有用信号与噪声中和的结果可能会产生差错。常用的差错控制方法有:检错重发(ARQ);前向纠错(FEC);混合纠错(HEC)。按照误码控制的不同功能,可分为检错码、纠错码和纠删码等。检错码仅具备识别错码功能而无纠正错码功能;纠错码不仅具备识别错码功能,同时具备纠正错码功能;纠删码则不仅具备识别错码和纠正错码的功能,而且当错码超过纠正范围时可把无法纠错的信息删除。

按照误码产生的原因不同,可分为纠正随机错误的码与纠正突发性错误的码。前者主要用于产生独立的局部误码的信道,而后者主要用于产生大面积的连续误码的情况。按照信息码元与附加的监督码元之间的检验关系可分为线性码与非线性码。如果两者呈线性关系,即满足一组线性方程式,就称为线性码;否则,两者关系不能用线性方程式来描述,就称为非线性码。

汉明码属于线性分组编码方式,是一种能够纠正一位错码且编码效率较高的线性分组码。CRC码即循环码是一种重要的线性码。循环码具有循环性,即循环码中任一码组循环一位(将最右端的码移至左端)以后,仍为该码中的一个码组。循环码组中任两个码组之和(模2)必定为该码组集合中的一个码组。另外,循环码每个码组中,各码元之间还存在一个循环依赖关系。

8

实验二数字基带仿真实验

2.跳频扩频的基本原理

扩频通信技术是广泛运用在公网和专网的一种无线通信技术。扩频通信主要有直序扩频和跳频扩频两种,所谓跳频,就是指用一定码序列进行选择的多频率频移键控。也就是说,用扩频码序列去进行频移键控调制,使载波频率不断地跳变。跳频扩频具有抗干扰、抗截获的能力,并能作到频谱资源共享;可以抗衰落、抗多径、抗网间干扰和提高频谱利用率。

3. 保密通信原理

在通信过程中,某些信息需要加密。对明文进行加密需要加密密钥,对密文进行解密需要解密密钥。加密密钥和解密密钥可以相同也可以不同。信息发送方用加密密钥,通过加密设备或算法,将信息加密后发送出去;接收方在收到密文后,用解密密钥将密文解密,恢复为明文。如果传输中有人窃取,由于没有解密密钥,他只能得到无法理解的密文,从而对信息起到保密作用。

常用的密码体制有常规密钥密码体制和公开密钥密码体制。蓝牙加密技术属于常规密钥密码体制。所谓常规密钥密码体制,即加密密钥与解密密钥是相同的密码体制。常规密钥密码体制的保密性取决于对密钥的保密,而算法是公开的。RSA属于公开密钥密码体制。公开密钥密码体制使用不同的加密密钥与解密密钥,是一种由已知加密密钥推导出解密密钥在计算上是不可行的密码体制。

4. 常规和公开密钥密码体制的工作原理

所谓常规密钥密码体制,即加密密钥与解密密钥是相同的。

公开密钥(public key)密码体制最主要的特点就是加密和解密使用不同的密钥,每个用户保存着一对密钥──公开密钥PK和秘密密钥SK,因此,这种体制又称为双钥或非对称密钥密码体制。在这种体制中,PK是公开信息,用作加密密钥,而SK需要由用户自己保密,用作解密密钥。加密算法E和解密算法D也都是公开的。虽然SK与PK是成对出现,但却不能根据PK计算出SK。公开密钥算法的特点如下:用加密密钥PK对明文X加密后,再用解密密钥SK解密,即可恢复出明文。加密密钥不能用来解密,加密和解密的运算可以对调。

四、实验内容及结果分析

1. 蓝牙基带包的差错控制技术实验

实验中用到的软件界面如图1所示,包括:包头校验(HEC),有效载荷校验(采用CRC),1/3FEC以及2/3FEC四个部分。实验中,要求记录包头校验、有效载荷校验、1/3 FEC以及2/3

9

通信系统综合实验

10

FEC 在有误码和无误码情况下的输入输出结果并加以分析。

图1 差错控制实验界面

(1) 包头检验实验结果: 输入UAP :46; HEAD :66

编码后的HEC 包头数据为:011001100011010110 按“编码”及“校验”按钮以后的输出结果为:

UAP:46; HEAD:66 --> HEC 包头数据为:011001100011010110

信道传输正确 或 产生不可检错误码!校验结果(移位寄存器结果值)为:00000000 按“误码”按钮后修改编码结果为:011001100011010110再“校验”后输出结果为: 信道传输产生误码!此时接收的包头数据为(LSB->MSB):011001100011010110 校验结果(移位寄存器结果值)为:11010110

从以上的实验数据中,我们加以分析可以得到以下结论:

包头校验可以检验出误码但无法纠错,所以在检出误码以后,需要通知发送端重传。 (2) 数据有效载荷信息的循环冗余校验结果: 输入:UAP :46,有效载荷:45875168752963255265 编码后的结果为:A67845875168752963255265 按“编码”及 “校验”按钮后输出结果为:

实验二数字基带仿真实验

循环冗余校验CRC码(MSB-->LSB):1010 0110 0111 1000

附加CRC码的信息比特(MSB-->LSB):01000101 10000111 01010001 01101000 01110101 00101001 01100011 00100101 01010010 01100101 10100110 01111000

----------------------------------------------------------------------------------------------------------------

信道传输正确或产生不可检错误码!此时接收的信息比特为(MSB-->LSB):

10100110 01111000 01000101 10000111 01010001 01101000 01110101 00101001 01100011 00100101 01010010 01100101

校验结果为:0000000000000000

按“误码”按钮后修改编码结果为:A67845871568752927255265 再“校验”后输出如下结果:信道传输产生误码!此时接收的信息比特为(MSB-->LSB):

10100110 01111000 01000101 10000111 00010101 01101000 01110101 00101001 00100111 00100101 01010010 01100101

校验结果为:0010110110101100

按“复原”再“误码”后修改编码结果为:A67823875168757863255265再“校验”后输出如下结果:

信道传输产生误码!此时接收的信息比特为(MSB-->LSB):

10100110 01111000 00100011 10000111 01010001 01101000 01110101 01111000 01100011 00100101 01010010 01100101

校验结果为:0100010100110110

从以上的实验数据中,我们加以分析可以得到以下结论:

CRC校验可以检验出误码但无法纠错,所以在检出误码以后,需要通知发送端重传。

(3)包的前向纠错(FEC)控制实验

①1/3 FEC实验结果

输入:1982643748

按“编码”按钮后输出结果为:

0 0 0 1 1 0 0 1 1 0 0 0 0 0 1 0 0 1 1 0 0 1 0 0 0 0

1 1 0 1 1 1 0 1 0 0 1 0 0 0

经1/3FEC编码后的二进制序列为(MSB-->LSB):

000000000111111000000111 111000000000000000111000 000111111000000111000000

11

通信系统综合实验

000000111111000111111111 000111000000111000000000

按“译码”按钮后输出结果为:

----------------------------------------------------------------------------------------------------------------------------

信道传输正确!译码结果为:

0 0 0 1 1 0 0 1 1 0 0 0 0 0 1 0 0 1 1 0 0 1 0 0 0 0

1 1 0 1 1 1 0 1 0 0 1 0 0 0

======================================================================= ===================================================

②2/3 FEC实验结果

输入:2ad

接收端经2/3FEC编码后数据:1010101101

按“编码”及“译码”按钮后输出结果为:

编码前的二进制信息序列为(MSB-->LSB):1010101101

经2/3FEC编码后的二进制信息序列为(MSB-->LSB):11110 1010101101

信道传输正确或产生不可检错的误码序列!接收序列为:11110 1010101101

译码结果为:1010101101 十六进制结果为:2AD

按“误码”按钮后修改经2/3FEC编码后的二进制序列为:111101*********再“译码”后输出如下结果:

信道传输产生1位错码!可纠错!该码位于第9位,译码结果为:1010101101 十六进制结果为:2AD

按“误码”按钮后修改经2/3FEC编码后的二进制序列为:101011001010101再“译码”后输出如下结果:

信道传输产生1位错码!可纠错!该码位于第9位,译码结果为:1010101101 十六进制结果为:2AD

======================================================================= ===================

12

实验二数字基带仿真实验

信道传输产生2位或2位以上错码!超出2/3FEC码纠错范围,不可纠错!译码结果为:1010011101 十六进制结果为:29D

从以上的实验数据中,我们加以分析可以得到以下结论:

2/3 FEC可以检验并纠正一位错码,对于两位以上错码只能检出但无法正确译码。

2.蓝牙系统的跳频实验

跳频实验中用到的软件界面如图2所示,要求记录在查询状态、查询扫描状态以及连接状态下,不同查询设备时钟和接入码下产生的频点并加以分析。

查询状态实验结果:

查询设备时钟:555

查询接入码:147

跳频个数:42

36 3 5 6 8 74 76 38 40 11 13 26

28 23 25 58 60 39 41 30 32 31

33 62 64 47 49 2 4 66 68 74 36

3 5 6 8 7

4 76 38 40 11

13

通信系统综合实验

14

图2 跳频实验界面

查询扫描状态实验结果 查询扫描设备时钟:789 查询接入码:2554 跳频个数:36 49 51 65 67 2 4 18 20 53 55 69 71 6 8 22 24 57 59 73 75 10 12 26 28 61 63 77 0 14 16 30 32 49 51 65 67 连接状态实验结果: 查询扫描设备时钟:251 查询接入码:468 跳频个数:24 22 13 26 15 28 1

实验二 数字基带仿真实验

15

32 3 30 17 34 19 4 68 6 72 8 5 10 9 12 70 14 74

从以上的实验数据中,我们加以分析可以得到以下结论:

跳频序列具有较强的随机性。且跳频图案由查询设备时钟、查询扫描设备时钟、查询接入码、主设备时钟、主设备地址等参数的综合选择有关,任一参数改变,跳频图案将会发生变化。 3. 数据流的加密与解密实验

数据流的加密与解密实验中用到的软件界面如图3所示,主要包括两个部分:常规密钥密码体制——蓝牙保密技术和公开密钥密码体制——RSA 。要求记录密钥参数、密码流参数、明文和秘文。

图3 数据流的加密与解密实验界面

通信系统综合实验

图4 常规密钥体密码制实验

(1)蓝牙加密技术实验(常规密钥密码体制的加密与解密)

具体实验数据如图4所示,其中:

密钥:14EFF4084601BA243E5BA4D24A771DFC

密码流:2AD3DCC698BEE2A58129541777C6B4D753C52BE93239605A 明文:1233333333332115546332

密文:2AD3DCD4AB8DD196B208414377C6B4B453C52BEA32396058

16

实验二数字基带仿真实验

图5 公开密钥密码体制实验

(2)RSA公开密钥密码体制的加密与解密实验

具体实验数据如图5所示,实验输出结果如下:

因为n 的二进制形式为1000100101111,所以将明文的二进制形式以长度为12 进行分组

对明文的二进制形式进行分组后,各个分组的十进制形式为(高位-->低位):1620 1637 1376 6 1318 562 1622 1366 1378 598 1301 1553 273 257 273 273

对各分组分别进行加密算法-->得到各分组的密文十进制形式(高位-->低位):3740 1552 1770 3377 3916 4107 450 2123 1431 2217 4283 2886 3671 1311 3671 3671

对各分组进行解密算法-->得到各分组解密明文的十进制形式(高位-->低位):1620 1637 1376 6 1318 562 1622 1366 1378 598 1301 1553 273 257 273 273

解密明文的十六进制形式为:654665560006526232656556562256515611111101111111

17

通信系统综合实验

18

对各分组进行解密算法-->得到各分组解密明文的十进制形式(高位-->低位):1620 1637 1376 6 1318 562 1622 1366 1378 598 1301 1553 273 257 273 273

解密明文的十六进制形式为:654665560006526232656556562256515611111101111111 4. 编程实验 编码原理:

2/3FEC 码是缩短的(15,10)循环汉明码,它可以纠正1位错误,发现2位错误。它的生成多项式为:

4

5

4

2

()(1)(1)1G D D D D D D D =+++=+++

(1)

由(1)式可得2/3FEC 码的生成示意图,如图6所示:

图6 2/3FEC 码的编码器

编码器的工作方式如下:

1. 移存器的初始状态全清零,开关S 1,S 2打到1点。然后移位,送入原码,低位首先进入电路;

2. 10次移位后,移存器中保留的是校验元;

3. 此时开关S 1,S 2,再经过5次移位后,把移位器中的校验元全部输出,与原先的10位信息元组成了一个长为15的码字。 译码原理:

译码时采用伴随式译码,其原理图如图7所示:

D

0D 4

D 3D 2D 1与

15级缓存器

输出

输入

通信工程专业综合实验报告..

通信工程专业综合实验 实验报告 (移动通信系统和网络协议部分) 姓名: 学号: 班级: 指导教师:

实验一:主被叫实验 一、实验目的 1、掌握移动台主叫正常接续时的信令流程。 2、了解移动台主叫时被叫号码为空号时的信令流程。 3、了解移动台主叫时被叫用户关机或处于忙状态时的信令流程。 4、了解移动台主叫时被叫用户振铃后长时间不接听的信令流程。 5、掌握移动台被叫正常接续时的信令流程。 6、掌握通话结束呼叫释放时的信令流程。 7、了解被叫用户振铃后长时间不接听时移动台被叫的信令流程。 二、实验仪器 1、移动通信实验箱一台; 2、台式计算机一台; 3、小交换机一台: 三、实验原理 处于开机空闲状态的移动台要建立与另一用户的通信,在用户看来只要输入被叫号码,再按发送键,移动台就开始启动程序直到电话拨通。实际上,移动台和网络要经许多步骤才能将呼叫建立起来。以移动台和移动台进行通信为例,就包括主叫移动台和主叫MSC建立信令链接、主叫MSC通过被叫电话号码对被叫用户进行选路,即寻找被叫所处的MSC、被叫MSC寻呼被叫MS并建立信令连接过程等三个过程。本实验主要是让学生掌握移动通信中移动台主叫时MS和MSC之间的信令过程、以及为了完成通话连接,主叫MSC和被叫MSC之间的信令过程(即七号信令中的部分消息)。 四、实验内容 1、记录正常呼叫的过程中,移动台主叫部分和被叫部分的信令流程 2、记录被叫关机时,移动台主叫部分的信令流程 3、记录被叫振铃后无应答时,移动台主叫部分和被叫部分的信令流程 4、记录被叫号码无效时,移动台主叫的信令流程 5、记录通话结束后,呼叫链路释放的信令流程 五、实验步骤 主叫实验: 1、通过串行口将实验箱和电脑连接,给实验箱上电。将与实验箱相连的电脑上的学生平台程序打开。在主界面上双击“主叫实验”图标,进入此实验界面。 2、点击“初始化”键,看到消息框中出现“初始化”完成。再点击“开机”键,从而使移动台处于开机状态。

通信综合实训系统实验报告

通信综合实训系统实验 (程控交换系统实验) 学生姓名 学号 专业班级通信工程班 指导老师 年月日

实验1 局内呼叫处理实验 一、实验目的 1. 通过对模拟用户的呼叫追踪,加深对程控交换机呼叫处理过程的理解; 2. 掌握程控交换机配置数据的意义及原理; 3. 根据设计要求,完成对程控交换机本局数据的配置。 二、实验内容 1.学习ZXJ10 程控交换机本局数据配置方法; 2.模拟用户动态跟踪,深入分析交换机呼叫流程; 3.按照实验指导书的步骤配置本局数据,电话号码7000000~7000023 分配到ASLC 板 卡的0~23 端口,并用7000000 拨打7000001 电话,按照实验指导书方法创建模拟用 户呼叫跟踪,观察呼叫动态迁移,理解单模块呼叫流程。 4.本局数据配置需要配置如下: 局信息配置 局容量数据配置 交换局配置 物理配置 号码管理、号码分析 三、实验仪器 程控交换机 1 套 维护终端若干 电话机若干四、实验步骤 (一)、启动后台维护控制中心 启动程控交换机网管终端计算机,点击桌面快捷方式的,启动后的维护控制中心如下图2-1(利用众友开发软件CCTS可省略该步骤): (二)、启动操作维护台 选中后台维护系统控制中心,单击右键,选中【启动操作维护平台】, 出现如下的对话框,输入操作员名【SYSTEM】, 口令为空,单击【确定】后,将会登陆操作维护系统。

(三)、告警局配置 打开“系统维护(C)”---- “告警局配置(B)”,点击“局信息配置(B)”后,弹出如下界面。 输入该局的区号532,局号 1 ,然后点击【写库】。 (四)、局容量数据配置 打开【基本数据管理】-【局容量数据配置】, 点击后弹出如下操作界面(分别进行全局容量、各模块容量进行规划设置),点击【全局规划】,出现如下的对话框. 点击【全部使用建议值】, 当前值自动填上系统默认的数值,点击【确定】后返回容量规划界面,点击【增加】, 模块号 2 ,MP内存128 ,普通外围、远端交换模块,填写完,点击【全部使用建议值】。 (五)、交换局配置 在后台维护系统打开[数据管理→基本数据管理→交换局配置]弹出如下的对话框,按照 图示,只填写【本交换局】-【交换局配置数据】,点击设置。 (六)、物理配置 在后台维护系统打开[数据管理→基本数据管理→物理配置]: 1. 新增模块 点击【新增模块】,填完模块号,选中紧凑型外围交换模块,点击确定,返回开始的对话 框。

数字钟设计报告——数字电路实验报告

数字钟设计实验报告 专业:通信工程 姓名:王婧 班级:111041B 学号:111041226

数字钟的设计 目录 一、前言 (3) 二、设计目的 (3) 三、设计任务 (3) 四、设计方案 (3) 五、数字钟电路设计原理 (4) (一)设计步骤 (4) (二)数字钟的构成 (4) (三)数字钟的工作原理 (5) 六、总结 (9) 1

一、前言 此次实验是第一次做EDA实验,在学习使用软硬件的过程中,自然遇到很多不懂的问题,在老师的指导和同学们的相互帮助下,我终于解决了实验过程遇到的很多难题,成功的完成了实验,实验结果和预期的结果也是一致的,在这次实验中,我学会了如何使用Quartus II软件,如何分层设计点路,如何对实验程序进行编译和仿真和对程序进行硬件测试。明白了一定要学会看开发板资料以清楚如何给程序的输入输出信号配置管脚。这次实验为我今后对 EDA的进一步学习奠定了更好的理论基础和应用基础。 通过本次实验对数电知识有了更深入的了解,将其运用到了实际中来,明白了学习电子技术基础的意义,也达到了其培养的目的。也明白了一个道理:成功就是在不断摸索中前进实现的,遇到问题我们不能灰心、烦躁,甚至放弃,而要静下心来仔细思考,分部检查,找出最终的原因进行改正,这样才会有进步,才会一步步向自己的目标靠近,才会取得自己所要追求的成功。 2

二、设计目的 1.掌握数字钟的设计方法。 2熟悉集成电路的使用方法。 3通过实训学会数字系统的设计方法; 4通过实训学习元器件的选择及集成电路手册查询方法; 5通过实训掌握电子电路调试及故障排除方法; 6熟悉数字实验箱的使用方法。 三、设计任务 设计一个可以显示星期、时、分、秒的数字钟。 要求: 1、24小时为一个计数周期; 2、具有整点报时功能; 3、定时闹铃(未完成) 四、设计方案 一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器和定时器组成。干电路系统由秒信号发生 3

通信技术综合实验报告

综合实验报告 ( 2010-- 2011年度第二学期) 名称:通信技术综合实验题目:SDH技术综合实验院系:电子与通信工程系班级: 学号: 学生姓名: 指导教师: 设计周数:两周 成绩: 日期:2011年 6 月

A C B D S1 P1S1 P1 主用 备用 AC AC 环形保护组网配置实验 一、实验的目的与要求 1、实验目的: 通过本实验了解2M 业务在环形组网方式时候的配置。 2、实验要求: 在SDH1、SDH2、SDH3配置成环网,开通SDH2到SDH3两个节点间的2M 业务,并提供环网保护机制。 1)掌握二纤单向保护环的保护机理及OptiX 设备的通道保护机理。 2)掌握环形通道保护业务配置方法。采用环形组网方式时,提供3套SDH 设备,要求配置成虚拟单向通道保护环。 3)了解SDH 的原理、命令行有比较深刻,在做实验之前应画出详细的实际网络连接图,提交实验预习报告,要设计出实验实现方案、验证方法及具体的步骤。 4)利用实验平台自行编辑命令行并运行验证实验方案,进行测试实验是否成功。 二、实验正文 1.实验原理 单向通道保护环通常由两根光纤来实现,一根光纤用于传业务信号,称S 光纤;另一根光纤传相同的信号用于保护,称P 光纤。单向通道保护环使用“首端桥接,末端倒换”结构如下图所示: 业务信号和保护信号分别由光纤S1和P1携带。例如,在节点A ,进入环以节点C 为目的地的支路信号(AC )同时馈入发送方向光纤S1和P1。其中,S1光纤按ABC 方向将业务信号送至节点C ,P1光纤按ADC 方向将同样的信号作为保护信号送至分路节点C 。接收端分路节点C 同时收到两个方向支路信号,按照分路通道信号的优劣决定选其中一路作为分路信号,即所谓末端选收。正常情况下,以S1光纤送来信号为主信号。同时,从C 点插入环以节点A 为目的地的支路信号(CA)按上述同样方法送至节点A 。

北京邮电大学数字电路实验报告

北京邮电大学 数字电路与逻辑设计实验 实验报告 实验名称:QuartusII原理图输入 法设计与实现 学院:北京邮电大学 班级: 姓名: 学号:

一.实验名称和实验任务要求 实验名称:QuartusII原理图输入法设计与实现 实验目的:⑴熟悉用QuartusII原理图输入法进行电路设计和仿真。 ⑵掌握QuartusII图形模块单元的生成与调用; ⑶熟悉实验板的使用。 实验任务要求:⑴掌握QuartusII的基础上,利用QuartusII用逻辑 门设计实现一个半加器,生成新的半加器图像模 块。 ⑵用实验内容(1)中生成的半加器模块以及逻辑门 实现一个全加器,仿真验证其功能,并能下载到实 验板上进行测试,要求用拨码开关设定输入信号, 发光二级管显示输出信号。 ⑶用3线—8线译码器(74L138)和逻辑门实现要求 的函数:CBA F+ C + =,仿真验证其 + B C B A A A B C 功能,,并能下载到实验板上进行测试,要求用拨 码开关设定输入信号,发光二级管显示输出信号。二.设计思路和过程 半加器的设计实现过程:⑴半加器的应有两个输入值,两个输出值。 a表示加数,b表示被加数,s表示半加和, co表示向高位的进位。

⑵由数字电路与逻辑设计理论知识可知 b a s ⊕=;b a co ?= 选择两个逻辑门:异或门和与门。a,b 为异 或门和与门的输入,S 为异或门的输出,C 为与门的输出。 (3)利用QuartusII 仿真实现其逻辑功能, 并生成新的半加器图形模块单元。 (4)下载到电路板,并检验是否正确。 全加器的设计实现过程:⑴全加器可以由两个半加器和一个或门构 成。全加器有三个输入值a,b,ci ,两个输 出值s,co :a 为被加数,b 为加数,ci 为低 位向高位的进位。 ⑵全加器的逻辑表达式为: c b a s ⊕⊕= b a ci b a co ?+?⊕=)( ⑶利用全加器的逻辑表达式和半加器的逻 辑功能,实现全加器。 用3线—8线译码器(74L138)和逻辑门设计实现函数 CBA A B C A B C A B C F +++= 设计实现过程:⑴利用QuartusII 选择译码器(74L138)的图形模块

通信原理实验四 实验报告 抽样定理与PAM系统实训

南昌大学实验报告 学生姓名:学号:专业班级: 实验类型:■验证□综合□设计□创新实验日期:实验成绩:实验四抽样定理与PAM系统实训 一、实验目的 1.熟通过对模拟信号抽样的实验,加深对抽样定理的理解; 2.通过PAM调制实验,使学生能加深理解脉冲幅度调制的特点; 3.通过对电路组成、波形和所测数据的分析,了解PAM调制方式的优缺点。 二、实验原理 1.取样(抽样、采样) (1)取样 取样是把时间连续的模拟信号变换为时间离散信号的过程。 (2)抽样定理 一个频带限制在(0,f H) 内的时间连续信号m(t),如果以≦1/2f H每秒的间隔对它进行等间隔抽样,则m(t)将被所得到的抽 样值完全确定。 (3)取样分类 ①理想取样、自然取样、平顶取样; ②低通取样和带通取样。 2.脉冲振幅调制电路原理(PAM) (1)脉冲幅度调制系统 系统由输入电路、高速电子开关电路、脉冲发生电路、解调滤波电路、功放输出电路等五部分组成。 图 1 脉冲振幅调制电路原理框图 (2)取样电路 取样电路是用4066模拟门电路实现。当取样脉冲为高电位时,

取出信号样值;当取样脉冲为低电位,输出电压为0。 图 2 抽样电路 图 3 低通滤波电路 三、实验步骤 1.函数信号发生器产生2KHz(2V)模拟信号送入SP301,记fs; 2.555电路模块输出抽样脉冲,送入SP304,连接SP304和SP302,记fc; 3.分别观察fc>>2fs,fc=2fs,fc<2fs各点波形; 4.连接SP204 与SP301、SP303H 与SP306、SP305 与TP207,把扬声 器J204开关置到1、2 位置,触发SW201 开关,变化SP302 的输入 时钟信号频率,听辨音乐信号的质量. 四、实验内容及现象 1.测量点波形 图 4 TP301 模拟信号输入 图 5 TP302 抽样时钟波形(555稍有失真) fc=38.8kHz ①fc>>2fs,使fs=5KHz: 图 6 TP303 抽样信号输出1 图7 TP304 模拟信号还原输出1 ②fc=2fs,使fs=20KHz: 图8 TP303 抽样信号输出2 图9 TP304 模拟信号还原输出2 ③fc<2fs,使fs=25KHz: 图10 TP303 抽样信号输出3 图11 TP304 模拟信号还原输出3 2.电路Multisim仿真 图12 PAM调制解调仿真电路 图13 模拟信号输入 图14 抽样脉冲波形 图15 PAM信号 图16 低通滤波器特性 图17 还原波形 更多学习资料请见我的个人主页:

数字通信系统设计实验报告

实验1:用 Verilog HDL 程序实现乘法器 1实验要求: (1) 编写乘法器的 Veirlog HDL 程序. (2) 编写配套的测试基准. (3) 通过 QuartusII 编译下载到目标 FPGA器件中进行验证 (4) 注意乘法逻辑电路的设计. 2 试验程序: Module multiplier(input rst,input clk,input [3:0]multiplicand, input [3:0]multiplier,input start_sig,output done_sig,output [7:0]result); reg [3:0]i; reg [7:0]r_result; reg r_done_sig; reg [7:0]intermediate; always @ ( posedge clk or negedge rst ) if( !rst ) begin i<=4'b0; r_result<=8'b0; end else if(start_sig) begin case(i) 0: begin intermediate<={4'b0,multiplicand}; r_result<=8'b0; i<=i+1; end 1,2,3,4: begin if(multiplier[i-1]) begin r_result<=r_result+intermediate; end intermediate<={intermediate[6:0],1'b0}; i<=i+1; end 5: begin r_done_sig<=1'b1;

i<=i+1; end 6: begin r_done_sig<=1'b0; i<=1'b0; end endcase end assign result=r_done_sig?r_result:8'bz; assign done_sig=r_done_sig; endmodule3 测试基准: `timescale 1 ps/ 1 ps module multiplier_simulation(); reg clk; reg rst; reg [3:0]multiplicand; reg [3:0]multiplier; reg start_sig; wire done_sig; wire [7:0]result; /***********************************/ initial begin rst = 0; #10; rst = 1; clk = 1; forever #10 clk = ~clk; end /***********************************/ multiplier U1 ( .clk(clk), .rst(rst), .multiplicand(multiplicand), .multiplier(multiplier), .result(result), .done_sig(done_sig), .start_sig(start_sig) ); reg [3:0]i; always @ ( posedge clk or negedge rst ) if( !rst )

数字秒表的设计与实现实验报告

电子科技大学《数字秒表课程设计》 姓名: xxx 学号: 学院: 指导老师:xx

摘要 EDA技术作为电子工程领域的一门新技术,极大的提高了电子系统设计的效率和可靠性。文中介绍了一种基于FPGA在ISE10.1软件下利用VHDL语言结合硬件电路来实现数字秒表的功能的设计方法。采用VHDL硬件描述语言,运用ModelSim等EDA仿真工具。该设计具有外围电路少、集成度高、可靠性强等优点。通过数码管驱动电路动态显示计时结果。给出部分模块的VHDL源程序和仿真结果,仿真结果表明该设计方案的正确,展示了VHDL语言的强大功能和优秀特性。 关键词:FPGA, VHDL, EDA, 数字秒表

目录 第一章引言 (4) 第二章设计背景 (5) 2.1 方案设计 (5) 2.2 系统总体框图 (5) 2.3 -FPGA实验板 (5) 2.4 系统功能要求 (6) 2.5 开发软件 (6) 2.5.1 ISE10.1简介 (6) 2.5.2 ModelSim简介 (6) 2.6 VHDL语言简介 (7) 第三章模块设计 (8) 3.1 分频器 (8) 3.2 计数器 (8) 3.3 数据锁存器 (9) 3.4 控制器 (9) 3.5 扫描控制电路 (10) 3.6 按键消抖电路 (11) 第四章总体设计 (12) 第五章结论 (13) 附录 (14)

第一章引言 数字集成电路作为当今信息时代的基石,不仅在信息处理、工业控制等生产领域得到普及应用,并且在人们的日常生活中也是随处可见,极大的改变了人们的生活方式。面对如此巨大的市场,要求数字集成电路的设计周期尽可能短、实验成本尽可能低,最好能在实验室直接验证设计的准确性和可行性,因而出现了现场可编程逻辑门阵列FPGA。对于芯片设计而言,FPGA的易用性不仅使得设计更加简单、快捷,并且节省了反复流片验证的巨额成本。对于某些小批量应用的场合,甚至可以直接利用FPGA实现,无需再去订制专门的数字芯片。文中着重介绍了一种基于FPGA利用VHDL硬件描述语言的数字秒表设计方法,在设计过程中使用基于VHDL的EDA工具ModelSim对各个模块仿真验证,并给出了完整的源程序和仿真结果。

通信原理综合实验数字频带传输系统的仿真报告解析

课程名称数字通信综合实验 题目数字频带传输系统的仿真 专业电子信息工程 班级 学号 姓名 指导教师 地点 时间:2015年7月04日至2015年7月08日

摘要 此次课程设计主要运用MATLAB集成环境下的Simulink仿真平台对2ASK频带传输系统仿真,并把运行仿真结果输入到显示器,根据显示器结果分析设计的系统性能。在设计中,目的主要是仿真通信系统中频带传输技术中的ASK调制。产生一段随机的二进制非归零码的频带信号,对其进行ASK调制后再加入加性高斯白噪声传输,在接收端对其进行ASK解调以恢复原信号,观察还原是否成功。通过Simulink的仿真功能摸拟到了实际中的2ASK 调制与解调情况。 关键词:Simulink ;高斯白噪声;调制与解调

第1章前言 (4) 1.设计平台 (4) 2. Simulink (5) 第2章通信技术的历史和发展 (7) 2.1通信的概念 (7) 2.2 通信的发展史简介 (9) 2.3通信技术的发展现状和趋势 (9) 第3章2ASK的基本原理 (10) 3.1 2ASK定义 (10) 3.2 2ASK的调制 (11) 3.3 2ASK的解调 (11) 第4章2ASK频带系统设计方案 (12) 4.1仿真系统的调制与解调过程 (12) 4.2 SIMULINK下2ASK系统的设计 (12) 第5章仿真结果分析 (17) 第6章出现的问题及解决方法 (23) 第7章总结 (24) 参考文献 (24)

第1章前言 在现代数字通信系统中,频带传输系统的应用最为突出。将原始的数字基带信号,经过频谱搬移,变换为适合在频带上传输的频带信号,传输这个信号的系统就称为频带传输系统。在频带传输系统中,根据数字信号对载波不同参数的控制,形成不同的频带调制方法。幅移键控法(ASK)的载波幅度是随着调制信号而变化的,其最简单的形式是,载波数字形式的调制信号在控制下通断,此时又可称作开关键控法(OOK)。本设计中选择正弦波作为载波,用一个二进制基带信号对载波信号的振幅进行调制,载波数字信号1或0的控制下通或断,在信号为1的状态载波接通,此时传输信道上有载波出现;在信号为0的状态下,载波被关断,此时传输信道上无载波传送,调制后的信号的频带宽度为二进制基带信号宽度的两倍,此制称为二进制振幅键控信号。 数字调制就是对基带数据信号进行变换,实现信号频谱的“搬移”数据的发送端进行搬移的过程称作“调制”,在称作调制器的设备中完成。在数据的接收端,有一个相反的变换被称作“解调”的过程,解调过程在称作解调器的设备中完成。经过调制的后的信号在一个很高的频段上占有一定的带宽,由于所处频段很高,使得其最高频率和最低频率的相对偏差变小(最高频率和最低频率的比值略大于1),这样的信号称为频带信号或射频信号,相应的传输系统称作频带传输系统。 数字频带传输系统或带通信号是现代通信系统的非常重要部分,通过调制来时信号与信道特新相匹配从而达到效果、传输为目的。数字频带传输系统既可用于低速数据信道,而可以用于中、高速数字信道,其应用很广泛,因此研究数字频带传输系统具有非常重要的义。理解和掌握二进制数字调制通信系统的各个关键环节,包括调制、解调、滤波、传输、噪声对通信质量的影响等。在数字信号处理实验课的基础上更加深入的掌握数字滤波器的设计原理及实现方法。是学习者对系统各关键点的信号波形及频谱有深刻的认识。设计或分析一个简单的通信系统,可以进一步理解通信系统的基本组成、模拟通信和数字通信的基础理论、通信系统发射端信号的形成及接收端信号解调的原理、通信系统信号传输质量的检测等方面的相关知识。 1.设计平台 MATLAB是美国MathWorks公司生产的一个为科学和工程计算专门设计的交互式大型

通信工程综合实验报告

通信工程专业综合实验实验报 (计算机网络部分)姓名: 学号: 班级: 指导教师:

实验一路由器基本操作 一、实验内容 1、通过Console 方式对路由器或交换机进行管理操作。 2、完成Telnet 方式对路由器或交换机访问操作。 3、利用tftp server 实现计算机和设备(交换机和路由器)之间的数据备份。 二、实验组网图 三、实验步骤 1用每台PC提供的Console连线和网线,选择一台路由器或者交换机连接好。 2、网线连接时,注意选择正确的接口(区分两种不同的以太网接口)。 3、按照实验指导书完成各项试验内容。 4、完成试验后,备份你试验中形成的配置文件,用U盘考走,用于写试验报告。 四、路由器的配置文件内 容 # version , Release 1809P01 # sysname H3C % # super password level 3 simple test 码为test 明文 # domain default enable system # telnet server enable 更改系统名为H3C % 用户级别切换到level 3 的密% 域名系统默认启用 %telnet 服务启用 #

dar p2p signature-file flash:/ # port-security enable # vlan 1 domain system access-limit disable state active idle-cut disable self-service-url disable 端口安全启用虚拟局域网 1 默认系统配置 user-group system # local-user admin password cipher .]@USE=B,53Q=AQ'MAF4<1!! authorization-attribute level 3 % service-type telnet % local-user test % password cipher =W6JJ'N_LBKQ=A Q'MAF4<1!! % service-type telnet # interface Aux0 用户群系统 本地用户admin 密码显示为密文显示设置权限为level 3 服务方式为远程登录本地用户名改为test 密码显示为密文显示服务方式为远程登录 设置Aux0 async mode flow link-protocol ppp # interface Cellular0/0 配置Cellular0/0 async mode protocol link-protocol ppp # interface Ethernet0/0 配置Ethernet0/0 port link-mode route ip address %ip # 地址为24 interface Serial0/0 link-protocol ppp # interface NULL0 interface Vlan- interface1 ip address # 设置ip 及掩码interface Ethernet0/1 port link-mode bridge

杭电通信系统课程设计报告实验报告

通信系统课程设计实验报告 XX:田昕煜 学号:13081405 班级:通信四班 班级号:13083414 基于FSK调制的PC机通信电路设计

一、目的、容与要求 目的: 掌握用FSK调制和解调实现数据通信的方法,掌握FSK调制和解调电路中相关模块的设计方法。初步体验从事通信产品研发的过程. 课程设计任务:设计并制作能实现全双工FSK调制解调器电路,掌握用Orcad Pspice、Protel99se进行系统设计及电路仿真。 要求:合理设计各个电路,尽量使仿真时的频率响应和其他参数达到设计要求。尽量选择符合标称值的元器件构成电路,正确完成电路调试。 二、总体方案设计 信号调制过程如下: 调制数据由信号发生器产生(电平为TTL,波特率不超过9600Baud),送入电平/幅度调整电路完成电平的变换,再经过锁相环(CD4046),产生两个频率信号分别为30kHz和40kHz(发“1”时产生30kHz方波,发“0”时产生40kHz方波),再经过低通滤波器2,变成平滑的正弦波,最后通过线圈实现单端到差分信号的转换。

信号的解调过程如下: 首先经过带通滤波器1,滤除带外噪声,实现信号的提取。在本设计中FSK 信号的解调方式是过零检测法。所以还要经过比较器使正弦信号变成方波,再经过微分、整流电路和低通滤波器1实现信号的解调,最后经过比较器使解调信号成为TTL电平。在示波器上会看到接收数据和发送数据是一致的。 各主要电路模块作用: 电平/幅度调整电路:完成TTL电平到VCO控制电压的调整; VCO电路:在控制电压作用下,产生30KHz和40KHz方波; 低通2:把30KHz、40KHz方波滤成正弦波; 线圈:完成单端信号和差分信号的相互转换; 带通1:对带外信号抑制,完成带信号的提取; 限放电路:正弦波整形成方波,同时保留了过零点的信息; 微分、整流、脉冲形成电路:完成信号过零点的提取; 低通1:提取基带信号,实现初步解调; 比较器:把初步解调后的信号转换成TTL电平 三、单元电路设计原理与仿真分析 (1)带通1(4阶带通)-- 接收滤波器(对带外信号抑制,完成带信号的提取) 要求通带:26KHz—46KHz,通带波动3dB; 阻带截止频率:fc=75KHz时,要求衰减大于10dB。经分析,二级四阶巴特沃斯带通滤波器来提取信号。 具体数值和电路见图1仿真结果见图2。

宽带通信网综合实验报告

《宽带通信网综合实验报告》 组员:XX 组员:XX 学院:通信工程学院

FTTx实验 【实验步骤和结果】 1、根据图13所示,搭建系统,其中三台ONU接计算机终端,还有一台ONU 接IPTV机顶盒。用ping命令检查接入系统是否可以连通?如果不能连通,请分析原因。如果可以连通,使用tracert命令检查路由,并给出HTTx的路由信息。 图1(ping) 图2(tracert) 2、用ipconfig检查接入终端的IP地址和网关,记录下来,并与LAN接入的地 址相比较,它们有什么不同?原因是什么? 经比较发现,两个地址的网段不同。

图3为ipconfig命令 图4为LAN接入地址 3、用telnet远程登录R4101路由器,记录有关光接口的配置信息。 ESR实验 【实验步骤和结果】 1、搭建系统,将三台S2016交换机组成一个ESR环,确定主节点为S2016(1),从节点 为S2016(2)和S2016(3)。

(1)先配置主交换机: (2)进入ESR配置模式,并将该交换机配置成主站: (3)置ESR环所用接口和VLAN,并使能该ESR: (4)配置从交换机: 先对S2016(2)进行配置:

步骤同上,对S2016(3)进行相同配置。 (5)使用ping 192.168.6.254命令查看网络,网络连通成功。 3、人为切断ESR环路,由于前面对主、从交换机的成功配置,使得ESR域的master node 控制其第二接口的阻塞实现了保护倒换功能。系统正常运行。

WLAN实验 【实验步骤和结果】 1、按照上面介绍的无线AP和连接计算机的配置方法进行配置,配置完成后, 用无线网卡接入(注意输入密钥),连接后,使用ping 192.168.0.1命令查看网络是否连通?如果网络连通,使用ipconfig命令查看连接计算机的IP地址、网关以及DNS,记录相关信息。使用tracert 192.168.0.1命令查看路由,并分析该路由。 图1 (配置界面图)

通信系统仿真实验报告(DOC)

通信系统实验报告——基于SystemView的仿真实验 班级: 学号: 姓名: 时间:

目录 实验一、模拟调制系统设计分析 -------------------------3 一、实验内容-------------------------------------------3 二、实验要求-------------------------------------------3 三、实验原理-------------------------------------------3 四、实验步骤与结果-------------------------------------4 五、实验心得------------------------------------------10 实验二、模拟信号的数字传输系统设计分析------------11 一、实验内容------------------------------------------11 二、实验要求------------------------------------------11 三、实验原理------------------------------------------11 四、实验步骤与结果------------------------------------12 五、实验心得------------------------------------------16 实验三、数字载波通信系统设计分析------------------17 一、实验内容------------------------------------------17 二、实验要求------------------------------------------17 三、实验原理------------------------------------------17 四、实验步骤与结果------------------------------------18 五、实验心得------------------------------------------27

数字系统设计软件实验报告

实验一QuartusⅡ9.1软件的使用 一、实验目的: 1、通过实现书上的例子,掌握QUARTUSII9.1软件的使用; 2、编程实现3-8译码电路以掌握VHDL组合逻辑的设计以及QUARTUSII9.1软件的使用。 二、实验流程: 1、仔细阅读书上的操作指南,学会在QuartusⅡ9.1中创建新的工程,创建过程如下所示: 1)、建立新设计项目: ①启动QuartusⅡ9.1软件,在软件的管理器窗口选File下拉菜单,即File→New Project Wizard,则出现新建工程向导窗口。如下所示: ②点击Next按钮,将弹出新建工程设置窗口,如下图所示。在新建工程设置窗口中设置好工程的存放路径、工程名称等。

③点击Next进入添加文件窗口,如下图。由于尚未创建文件,跳过该步骤。 ④点击Next按钮,进入选择目标芯片窗口。在这里我们选择Cyclone系列的EP1C6Q240C8,如下图:

⑤点击Next按钮,进入EDA工具设置窗口,通常选择默认的“None”,表示选择QuartusⅡ自带的仿真器和综合器。如下图: ⑥点击Next按钮,弹出New Project Wizard概要对话框,在这个窗口中列出了所有前面设置的结果。若有错误则点击Back回去修改,否则点击Finish结束,即完成新工程的设定工作。如下图:

2)、文本设计输入: ①在QuartusⅡ主界面菜单栏中选择File下拉菜单中的New,弹出新建设计文件窗口,选择VHDL File项,点击OK按钮即可打开VHDL文本编辑窗口,其默认文件名为“Vhdl.vhd”。 ②出现文本编辑窗口后,我们可以直接在空白界面中键入所设计的VHDL文本。这时我们将书本中的程序输入到文本编辑环境中去。程序如下: library IEEE; use IEEE.std_logic_1164.all; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity count10 is port(clk,load,en:in std_logic; data_in:in std_logic_vector(3 downto 0); seg:out std_logic_vector(6 downto 0)); end count10; architecture beha of count10 is signal qout:std_logic_vector(3 downto 0); signal q_temp:std_logic_vector(3 downto 0); begin process(clk,load) begin

基于simulink的综合通信实验报告

湖南科技大学 信息与电气工程学院《课程设计报告》 题目:综合通信系统课程设计 专业:*** 班级:*** 姓名:*** 学号:***

任务书 题目综合通信系统课程设计 时间安排第七学期的第19-20两周 目的: 1、掌握通信系统的基本构成; 2、掌握通信系统工作原理; 3、了解通信系统设计的基本过程;掌握基本理论和解决实际问题的方法,锻炼学生综合分析问题解决问题的能力。 4、为学生的毕业设计和以后的工作打下良好的基础。 要求: 课程设计前,学生必须知道课程设计的目的以及教师所规定的任务及其具体要求,有针对性地进行预习和设计。课程设计时,学生必须遵守实验室纪律,严格考勤登记,服从指导老师和实验室工作人员的安排。课程设计结束后,学生必须向所指导教师提交课程设计报告,且课程设计报告要求字迹清楚,版面整洁,报告内容包括调试过程和结果以及心得体会。 总体方案实现:本课程设计主要是利用simulink、通信系统工具箱以及信号处理工具箱来完成通信系统的设计与仿真。Simulink是MATLAB提供的实现动态系统建模和仿真的一个软件包,许多工具箱里的模块都被封装成了Simulink模块。MATLAB中的通信系统工具箱是一个运算函数和仿真模块的集合体,可以用来进行通信领域的研究、开发、系统设计和仿真。使用MATLAB软件,设计通信系统,配置各个通信组成部分的参数,通过仿真可以得到仿真波形,很明显的可以观察到参数不同仿真结果不尽相同。 指导教师评语:

一、设计目的和任务 综合通信系统课程设计是电子信息工程专业和通信工程专业教学的一个实践性与综合性环节,是电子信息工程专业及通信工程专业各门课程的综合以及通信、信息、信号处理等基本理论与实践相结合的部分。主要是为了让学生利用所学的专业理论知识以及实践环节所积累的经验,结合实际的通信系统的各个环节,设计出一个完整综合通信系统,并进一步加深学生对通信系统的深入理解,培养学生设计通信系统的能力,为毕业设计和以后的工作打下良好的基础。 1、设计目的: 1、掌握通信系统的基本构成; 2、掌握通信系统工作原理; 3、了解通信系统设计的基本过程;掌握基本理论和解决实际问题的方法,锻炼学生综合分析问题解决问题的能力。 5、为学生的毕业设计和以后的工作打下良好的基础。 2、设计任务: 1、设计通信系统的各个环节; 2、将上述设计好的各个环节设计成一个综合通信系统。 二、设计工具介绍 本课程设计主要是利用simulink、通信系统工具箱以及信号处理工具箱来完成通信系统的设计与仿真。 1、Simulink Simulink是MATLAB提供的实现动态系统建模和仿真的一个软件包。它让用户把精力从编程转向模型的构造,经常与其它工具箱一起使用,实际上,许多工具箱里的模块都被封装成了Simulink模块。 2、通信系统工具箱及其功能 2.1 通信系统工具箱概述 MATLAB中的通信系统工具箱是一个运算函数和仿真模块的集合体,可以用来进行通信领域的研究、开发、系统设计和仿真。通信系统工具箱中包含的模块

通信综合实训系统实验报告

. 通信综合实训系统实验 (程控交换系统实验) 学生姓名 学号 专业班级通信工程班 指导老师 年月日

实验1 局内呼叫处理实验 一、实验目的 1.通过对模拟用户的呼叫追踪,加深对程控交换机呼叫处理过程的理解; 2.掌握程控交换机配置数据的意义及原理; 3.根据设计要求,完成对程控交换机本局数据的配置。 二、实验内容 1.学习ZXJ10程控交换机本局数据配置方法; 2.模拟用户动态跟踪,深入分析交换机呼叫流程; 3.按照实验指导书的步骤配置本局数据,电话号码7000000~7000023分配到ASLC板 卡的0~23端口,并用7000000拨打7000001电话,按照实验指导书方法创建模拟用户呼叫跟踪,观察呼叫动态迁移,理解单模块呼叫流程。 4.本局数据配置需要配置如下: 局信息配置 局容量数据配置 交换局配置 物理配置 号码管理、号码分析 三、实验仪器 程控交换机1套 维护终端若干 电话机若干 四、实验步骤 (一)、启动后台维护控制中心 启动程控交换机网管终端计算机,点击桌面快捷方式的,启动后的维护控制中心如下图2-1(利用众友开发软件CCTS可省略该步骤): (二)、启动操作维护台 选中后台维护系统控制中心,单击右键,选中【启动操作维护平台】,出现如下的对话框,输入操作员名【SYSTEM】,口令为空,单击【确定】后,将会登陆操作维护系统。

(三)、告警局配置 打开“系统维护(C)”----“告警局配置(B)”,点击“局信息配置(B)”后,弹出如下界面。 输入该局的区号532,局号1,然后点击【写库】。 (四)、局容量数据配置 打开【基本数据管理】-【局容量数据配置】,点击后弹出如下操作界面(分别进行全局容量、各模块容量进行规划设置),点击【全局规划】,出现如下的对话框. 点击【全部使用建议值】,当前值自动填上系统默认的数值,点击【确定】后返回容量规划界面,点击【增加】, 模块号2,MP内存128,普通外围、远端交换模块,填写完,点击【全部使用建议值】。 (五)、交换局配置 在后台维护系统打开[数据管理→基本数据管理→交换局配置]弹出如下的对话框,按照图示,只填写【本交换局】-【交换局配置数据】,点击设置。 (六)、物理配置 在后台维护系统打开[数据管理→基本数据管理→物理配置]:

数字电路与系统设计实验报告

数字电路与系统设计实验报告 学院: 班级: 姓名:

实验一基本逻辑门电路实验 一、实验目的 1、掌握TTL与非门、与或非门和异或门输入与输出之间的逻辑关系。 2、熟悉TTL中、小规模集成电路的外型、管脚和使用方法。 二、实验设备 1、二输入四与非门74LS00 1片 2、二输入四或非门74LS02 1片 3、二输入四异或门74LS86 1片 三、实验内容 1、测试二输入四与非门74LS00一个与非门的输入和输出之间的逻辑关系。 2、测试二输入四或非门74LS02一个或非门的输入和输出之间的逻辑关系。 3、测试二输入四异或门74LS86一个异或门的输入和输出之间的逻辑关系。 四、实验方法 1、将器件的引脚7与实验台的“地(GND)”连接,将器件的引脚14与实验台的十5V连接。 2、用实验台的电平开关输出作为被测器件的输入。拨动开关,则改变器件的输入电平。 3、将被测器件的输出引脚与实验台上的电平指示灯(LED)连接。指示灯亮表示输出低电平(逻辑为0),指示灯灭表示输出高电平(逻辑为1)。 五、实验过程 1、测试74LS00逻辑关系 (1)接线图(图中K1、K2接电平开关输出端,LED0是电平指示灯) (2)真值表 2、测试74LS02逻辑关系

(1)接线图 (2)真值表 3、测试74LS86逻辑关系接线图 (1)接线图 (2)真值表 六、实验结论与体会 实验是要求实践能力的。在做实验的整个过程中,我们首先要学会独立思考,出现问题按照老师所给的步骤逐步检查,一般会检查处问题所在。实在检查不出来,可以请老师和同学帮忙。

实验二逻辑门控制电路实验 一、实验目的 1、掌握基本逻辑门的功能及验证方法。 2、掌握逻辑门多余输入端的处理方法。 3、学习分析基本的逻辑门电路的工作原理。 二、实验设备 1、基于CPLD的数字电路实验系统。 2、计算机。 三、实验内容 1、用与非门和异或门安装给定的电路。 2、检验它的真值表,说明其功能。 四、实验方法 按电路图在Quartus II上搭建电路,编译,下载到实验板上进行验证。 五、实验过程 1、用3个三输入端与非门IC芯片74LS10安装如图所示的电路。 从实验台上的时钟脉冲输出端口选择两个不同频率(约7khz和14khz)的脉冲信号分别加到X0和X1端。对应B和S端数字信号的所有可能组合,观察并画出输出端的波形,并由此得出S和B(及/B)的功能。 2、实验得真值表

相关主题
文本预览
相关文档 最新文档