当前位置:文档之家› 基于FPGA的OFDM宽带数据通信同步系统设计与实现毕业设计(论文)

基于FPGA的OFDM宽带数据通信同步系统设计与实现毕业设计(论文)

基于FPGA的OFDM宽带数据通信同步系统设计与实现毕业设计(论文)
基于FPGA的OFDM宽带数据通信同步系统设计与实现毕业设计(论文)

毕业设计(论文)

题目名称:基于FPGA的OFDM宽带数据

通信同步系统设计与实现

基于FPGA的OFDM宽带数据

通信同步系统设计与实现Design and Realize the Synchronization System for Broad Band

Data Communication Based on FPGA

毕业设计(论文)原创性声明和使用授权说明

原创性声明

本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。

作者签名:日期:

指导教师签名:日期:

使用授权说明

本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。

作者签名:日期:

摘要

OFDM(正交频分复用)技术作为一种可以有效对抗信号信道间干扰和符号干扰的高速传输技术,引起了广泛关注。它利用许多并行的、传输速率较低的子载波来实现高速率的通信。它的特点是各子载波相互正交,所以扩频调制后的频谱可以相互重叠,不但减小了子载波间的相互干扰,还大大提高了频谱利用率。由于具有高频谱利用率、易于硬件实现、对抗频率选择性衰落和窄带干扰的能力突出等优点,它成为第四代移动通信的首选技术,也是当前移动通信技术研究的热点问题。

本文概括的介绍了OFDM系统的基本概念、基本工作原理和关键技术以及OFDM 通信系统的组成,详细分析了各种同步误差的引入以及各种误差对于系统的影响。

同步技术是OFDM系统最关键的技术之一,它包括载波频率同步和符号同步等。载波频率同步是为了纠正接收端相对于发送端的载波频率偏移,以保证子载波间的正交性,符号同步确定符号有用数据信息的开始时刻,也就是确定FFT的开始时刻。本文设计了一种理想的OFDM系统,包括串并转换、星座映射、调制解调等,并且介绍了一种基于自相关的符号同步算法,在对算法作理论研究的基础上,给出了它的基于FPGA的实现方案。

关键词:正交频分复用(OFDM),现场可编程门阵列(FPGA),符号同步

Abstract

OFDM (orthogonal frequency division multiplexing) is a high-speed transaction technology, which can defeat ICI(Inter-Channel Interface)and ISI(inter-symbol interface),so it makes OFDM more and more popular.OFDM transmits high-speed data through many sub-carriers which are parallel and have lower transmission frequency.All the sub-carriers are orthogonal ,so their frequency spectrum can be lapped from each other.That can not only decreases ISI ,but also increases the frequency spectrum utilization. Because all of above, OFDM has been the first choice in 4G mobile communication technology.

In this paper, the basic theory, fundamental operating principles, key technology and the OFDM communication system components, have been inteoduced. At the same time, the effects of synchronization error to the system are analyzed in detail.

As one of the most crucial technique of OFDM, synchronization technique includes carrier frequency and symbol synchronization.Carrier frequency synchronization rectifies the carrier frequency offset between transmitter and receiver, ensuring the orthogonality among sub-carriers, and symbol synchronization determinates the beginning of useful data in an OFDM symbol, which is also the starting moment of FFT. In this paper, an ideal system is designed, which includes the translation between serial and parallel, constellation mapping, modulate demodulate, and so on. In addition, a symbol synchronization algorithm based on autocorrelation has been introduced. According to these principles of these algorithms, it is realized in FPGA.

Key words: OFDM, FPGA, symbol synchronization

目录

1 引言 (7)

1.1 课题研究的背景 (7)

1.2 课题研究的目的与意义 (7)

1.3 基于FPGA的OFDM同步研究与实现的意义 (8)

2 OFDM系统分析 (9)

2.1 OFDM的主要思想 (9)

2.2 OFDM技术的优缺点分析 (10)

2.3 OFDM实现的关键技术 (11)

2.4 OFDM的系统结构 (12)

2.5 OFDM信号的传输 (14)

2.6 OFDM系统的调制解调 (15)

3 OFDM中的同步原理及误差分析 (17)

3.1 OFDM系统中的主要同步技术 (17)

3.2 全数字OFDM中频通信系统中涉及的同步原理 (17)

3.3全数字OFDM中频通信系统中同步误差对系统性能的影响 (19)

3.3.1 载波偏差对系统性能的影响 (19)

3.3.2 抽样偏差对系统性能的影响 (20)

3.3.3 OFDM载波整数对系统性能的影响 (20)

3.3.4 符号同步误差对系统性能的影响 (20)

4 OFDM系统的设计与实现 (22)

4.1 OFDM系统设计 (22)

4.2 同步过程理论推导 (23)

4.3 数据发送端实现 (25)

4.3.1 串并转换 (25)

4.3.2 调制 (26)

4.3.3 训练序列的产生 (27)

4.3.4 训练符号插入 (29)

4.3.5 调制解调 (29)

4.3.6循环前缀插入模块 (30)

4.4 数据接收机的实现 (32)

4.4.1 解调及循环前缀移除 (32)

4.4.2 基于重复结构的符号定时步骤 (32)

4.4.3 符号同步的简化调整 (33)

4.4.4 星座反映射以及并串转换 (34)

4.5 系统仿真结果 (35)

5 结论 (36)

参考文献 (37)

致谢 (38)

附录A缩略语 (39)

附录B 程序源代码 (40)

1 引言

1.1 课题研究的背景

在现代通信系统中,如何高速和可靠地传输信息成为人们关注的一个焦点。虽然现在数据传输理论和实践已经取得了相当大的进展,但是随着通信的发展,特别是无线通信业务的增长,可以利用的频率资源日趋紧张。OFDM调制技术的出现为实现高效的抗干扰调制技术和提高频带利用率开辟了一条的新路径。OFDM调制技术的应用可以追溯到二十世纪60年代,主要用于军用的高频通信系统,也曾被考虑应用于高速调制解调器。

目前,OFDM技术已经被广泛应用于广播式的音频和视频领域和民用通信系统中。伴随着数字信号处理技术(DSP)和大规模集成电路技术的发展,多载波调制技术得到了广泛应用。在无线局域网(WLAN)方面,无论是欧洲的ETSIHYPERI Nl l 标准,日本的MMAC标准,还是美国的IEEE802.lla标准,都把OFDM作为唯一的解决方案,来实现高速无线宽带数据传输。在高清数字电视(HDTV)传输方面,现有的三种地面传输协议中,欧洲的DVB-T标准和日本的ISDB-T标准都采用了OFDM技术。在数字蜂窝移动通信系统方面,OFDM技术曾经作为第三代移动通信(3G)的备选技术,只是由于当时技术不成熟而未被采纳,但未来新一代移动通信(B3G)已将OFDM列为主流的物理层技术之一,以实现高速移动数据传输。另外,OFDM 还被应用于欧洲的数字音频广播(DAB)标准,宽带无线接入技术(IEEE802.16),宽带有线接入(XDSL),卫星广播,短波/超短波通信,电力线通信等[1]。

第四代移动通信中将提供高达100Mbps甚至更高的数据传输速率,能够满足从语音扩展到数据、图像、视频等大量信息的高质量的多媒体业务。随着无线通信业务的飞速发展,为了在可用频带日趋紧张的情况下提高频带利用率.正交频分复用(OFDM)已成为第四代移动通信系统的核心技术。

1.2 课题研究的目的与意义

新一代的无线通信系统应该能够支持更高的峰值信息传输速率,具有更灵活的支持可变速率,支持更丰富的业务,适应更恶劣的环境的能力。以正交频分复用(OFDM)技术为代表的多载波技术成为了本领域最具竞争力的方案。

OFDM的英文全称为Orthogonal Frequency Division Multiplexing,中文含义为正交频分复用技术。这种技术是HPA联盟(Home Plug Power line Alliance)工业规范的基础,它采用一种不连续的多载波调制技术,将被称为载波的不同频率中的大量

信号合并成单一的信号,从而完成信号传送。由于这种技术具有在载波干扰下传送信号的能力,因此常常被称为是一项超越非视距的技术,利用在容易外界干扰或者抵抗外界干扰能力较差的传输介质中。OFDM技术的推出其实是为了提高载波的频谱利用率,或者是为了改进对多载波的调制用的,它的特点是各子载波相互正交,于是扩频调制后的频谱可以相互重叠,从而减小了子载波间的相互干扰。

众所周知,同步技术是任何一个通信系统都需要解决的重要问题,其性能直接关系到整个系统的性能。没有准确的同步算法,就不可能进行可靠的数据传输,它是信息可靠传输的前提。由于多载波调制技术要求子载波之间保持正交,因此其对于载波频偏,相位噪声的影响更加敏感。通信系统收发机振荡器会带来相位噪声抖动和频偏,这些都会子载波间的正交性遭到破坏,仅频偏就能使信噪比大幅下降。并且,由于信号特殊的波形,使得很多单载波系统设计的同步算法不能被采用,因此必须从本身的角度出发来设计整套同步算法。综上所述,通信系统中的同步技术就凸现重要,只有找到性能优良的多载波同步算法,并对其在硬件平台上加以验证才能保证通信技术的顺利发展。

1.3 基于FPGA的OFDM同步研究与实现的意义

FPGA(现场可编程门阵列)是一种大规模可编程逻辑器件,它的体系结构和逻辑单元灵活、集成度高、适用范围广,并且设计开发周期短、设计制造成本低、开发工具先进、标准产品无需测试、质量稳定以及可实时在线检验。FPGA与供应商提供功能定义的ASIC芯片不同,它可以根据每个应用的不同需要进行配置和重新配置。由于FPGA允许在硬件中实现自定义算法,具有精确地时序和同步,快速决策及并行任务同时执行等优点,因此被广泛应用于算法实现,产品原型设计之中。

从本质上来讲,FPGA更像一个应用平台,设计者根据自己的需要在平台上做自己的设计和定义。随着各种不同的新应用层出不穷,复杂性越来越大,开发者对速度、功能、效率的要求越来越高,因此FPGA也将集成越来越多的IP模块和功能,比如高速IO口、收发器、更大的存储器以及DSP模块。应用这些资源可以方便的搭建OFDM通信系统,避开不关心的问题,而集中精力完成多载波同步算法的硬件实现,观测同步过程的输入输出信号,得到丰富的测试结果,为得出有效结论提供依据。

2 OFDM系统分析

2.1 OFDM的主要思想

OFDM是一种无线环境下的高速传输技术,该技术的基本原理是将高速串行数据变换成多路相对低速的并行数据并对不同的载波进行调制。这种并行传输体制大大扩展了符号的脉冲宽度,提高了抗多径衰落的性能。传统的频分复用方法中各个子载波的频谱是互不重叠的,需要使用大量的发送滤波器和接受滤波器,这样就大大增加了系统的复杂度和成本。同时,为了减小各个子载波间的相互串扰,各子载波间必须保持足够的频率间隔,这样会降低系统的频率利用率。而现代OFDM系统采用数字信号处理技术,各子载波的产生和接收都由数字信号处理算法完成,极大地简化了系统的结构。同时为了提高频谱利用率,使各子载波上的频谱相互重叠(如图1所示),但这些频谱在整个符号周期内满足正交性,从而保证接收端能够不失真地复原信号。

当传输信道中出现多径传播时,接收子载波间的正交性就会被破坏,使得每个子载波上的前后传输符号间以及各个子载波间发生相互干扰。为解决这个问题,在每个OFDM传输信号前面插入一个保护间隔,它是由OFDM信号进行周期扩展得到的。只要多径时延不超过保护间隔,子载波间的正交性就不会被破坏。

OFDM的主要思想是把高速率串行码流转变若干个并行的低速码流,调制到等间隔的一组正交的子载波上。其基本原理是将总的信道带宽分成多个带宽相等的子信道,每个子信道单独通过各自的子载波调制各自的信息符号,因此在时域每一个OFDM符号持续时间比单载波长很多,于是抗多径衰落性能力比单载波好很多[2]。同时由于每个子载波的正交性,频谱的利用率大大提高,所以非常适合衰落移动场合中的高速传输。另外,OFDM符号中引入的保护间隔能有效地克服多径信道的延时扩展,消除码间干扰,因此消除了采用复杂均衡器的必要。

图2-1 包含4个子载波的OFDM符号

图2-2 正交频分复用信号的频谱示意图

如图2-1所示是包含4个子载波的时域OFDM符号,从图中不难看出,4个子载波之间相互正交,每路子载波在一个OFDM符号周期内都包含整数个周期,且相邻子载波之间相差一个周期。正交频分复用信号的频谱示意图见图2-2。

2.2 OFDM技术的优缺点分析

OFDM通信的优点:

1、OFDM能够有效地对抗频率选择性衰弱和载波间的干扰,并通过将各子信道联

合编码,实现子信道间的频率分集,从而使系统的整体性能得以提高。

2、OFDM使用正交的子载波作为子信道,极大提高频谱利用率,当子载波个数越

多时,系统的频谱利用率越高。

3、由于OFDM的自适应调制可以根据信道环境的优劣采用更合理的调制方式,并

通过使用加载算法,可以将数据集中到条件好的信道上进行高速传输。即根据每个子载波SNR的的相应的选取各子载波及其调制方式,以此提高系统质量。

4、把高速数据流进行串并变换,并采用插入循环前缀的方法,消除了ISI造成的不

利影响,甚至可以不用均衡器,减小了接收机内均衡的复杂程度。

5、无线数据业务一般存在非对称性,即上下行链路数据传输量不同,系统可调整

子信道数来实现上下行链路中不同的传输速率,提高物理层信息传输的可靠性。OFDM通信的缺点:

1、易受频率偏差的影响。由于子信道的频谱相互覆盖,这就对它们之间的正交性提出了严格的要求,然而由于无线信道存在时变性,在传输过程中会出现无线信号的频率偏移,如多普勒频移,或者由于发射机载波频率与接收机本地振荡器之间存在的频率偏差,都会使得OFDM系统子载波之间的正交性遭到破坏,从而导致子信道间的信号相互干扰(ICI)。

2、存在较高的峰值平均功率比(PAPR)。由于多载波调制系统的输出是多个了信道

信号的叠加,因此若多个信号的相位一致时,所得到的叠加信号的瞬间功率就会远远大于信号的平均功率,导致出现较大的峰值平均功率比。这会给信号带来畸变,使叠加信号的频谱发生变化,从而导致各个子信道信号之问的正交性遭到破坏,产生相互干扰,使系统性能恶化[3]。

2.3 OFDM实现的关键技术

1、保护间隔(循环前缀)

在无线衰落信道中,多径的影响导致接收信号产生时延扩展,因此一个码元的波形可能扩展到其它码元的周期中,引起码间串扰(ISI),这也是导致传输性能下降的主要原因。为避免ISI,应使码元周期大于多径效应引起的时延扩展,在实际中应大于最大多径时延。

OFDM系统中,通过降低码元速率使得ISI的影响降低,同时可以在每个OFDM 符号之间加人保护间隔,进一步消除残留的ISI,目前比较有效的方式是插入循环扩展(前缀和后缀,有时可以只插人循环前缀),即可更好地控制传输信号频谱,降低频偏影响,减少同步难度。

2、同步技术

OFDM系统中主要涉及的同步有符号同步,载波同步和采样频率同步。在OFDM 系统中,由于码元宽度相对较宽,所以系统对定时偏移不是很敏感,对频率偏移比较敏感,相位噪声对系统也有很大的损害。这部分的内容将会在第三章做详细的介绍,在此不再详细叙述。

3、训练序列/导频及信道估计技术

OFDM系统中,系统对频偏比较敏感,所以一般使用相干检测。在系统采用相干检测时,信道估计是必须的。为了提高估计的精度,可以插入连续导频和分散导频,导频的数量是估计精度和系统复杂的折衷。信道估计器根据导频就可以估计出信道的脉冲响应,估计的方法比较多,匹配滤波器法、最小均方值法、最大后验概率法等都可以根据具体的系统要求选用[4]。

4、峰均功率比控制

在OFDM系统中,信号的峰值平均功率比(PAPR)起伏较大,对射频的线性功放提出了很高的要求,发送端对高功率放大器(HPA)的线性度要求很高且发送效率极低,接收端对前端放大器以及A/D变换器的线性度要求也很高,因此应该尽可能地降低信号的PAPR[5]。

5、信道编码和交织技术

在OFDM系统中,由于码间串扰不是很严重,所以随机误码得到了一定的限制,但对于突发误码,尤其是在军用场合,信道编码和交织技术还是必须的。由于OFDM信号具有时域和频域的二维结构特点,因此信道编码可以很好地利用此特点,得到更好的纠错性能。此时通过合理设计时域和频域的交织器,可以很好地对抗突发错误和人为干扰。

6、均衡技术

由于OFDM技术本身利用了衰落信道的分集特性,系统的码间串扰问题已得到了很好的抑制,而均衡技术主要就是为了补偿多径信道引起的码间干扰,因此一般情况下,OFDM系统可以不用均衡措施。

在具体的系统设计中,还有很多更复杂的问题需要解决,而同步技术是其中尤为关键的部分。

2.4 OFDM的系统结构

OFDM系统收发信机的典型结构如图2-2所示,图中上半部分是发送机框图,下半部分是接收机框图,两者经过信道传输信号相连接。

图2-3 OFDM发射/接收机的原理框图

发送端通过一定的映射关系将需要传输的数字信号转换成用子载波的幅度和相位来表示,并进行离散傅立叶(IDFT)将数据的频谱表达式变到时域上。接收端进行与发送端相反的操作,将射频(RF)信号与接收信号进行混频处理,并用FFT变换分解频域信号,子载波的幅度和相位被采集出来并转换回数字信号。

框图中的主要传输步骤及原理介绍如下:

编码指的是前向纠错编码,也称作FEC编码,编码的目的是为了避免传输过

程中的突发错误影响接收机解码器的工作。通常也会在编码之前加一个扰码单元以此来避免长串的1或者0的出现,这有助于接收机的定时恢复。在编码之后的比特流进行交织,交织之后的数据经过调制之后形成同相(I)和正交(Q)两路信号。调制信号经过IFFT处理后被调制到各个子载波上,从而形成OFD M信号。插入导频,则是作为接收机的已知信息用于接收信号的频率同步处理[6]。IFFT的输出重新变为串行样值序列并添加循环前缀。到此就完成了信号的所有数字基带处理,再将待传输信号通过模数转换器变为连续的波形之后就可以送往发射机的射频前端进行高频载波的调制和放大,从而完成整个发射过程。

接收机的实现过程基本上是与发射机相反的逆操作,可以从图2-2的原理图中看出。为了使模数转换保持性对稳定的输入信号功率避免ADC的输出功率饱和或是过小,在之前会进行自动增益控制(AGC)。因为没有任何两个振荡器的频率能够完全相同,两天线之间也必定会有载波频偏(CFO),所以要进行频率同步以及定时同步。为了实现这一系列的同步工作,通常采用的方法是在数据中加入训练序列(TS),训练序列是接收机中已知道的并且带有周期性的数据序列,可以用来对定时、频道偏差和信道状态信息进行估计。信号经过同步处理和信道补偿之后信号去除循环前缀,再经过FFT解调,解交织,信道解码后即可恢复。这就是接收机的整体接收原理。

图2-4 理想情况下的OFDM系统框图

如图2-3给出理想情况下的OFDM系统框图,即假设所有的同步工作都已经完成,同时信道对信号不产生任何畸变。可以从图中得到系统的工作流程。整个系统分为发送和接收两个部分。

S经过串行并行变换变为N路并行的比特流,对应N 在发送部分,首先调制信息

n

个不同的子载波,然后根据信道的情况采用适当的调制方式进行星座点的映射,得

到各个子载波上的调制符号。然后经过IFFT模块,进行并行串行转换,得到OFDM 符号有效负载部分,再加上循环前缀得到完整的OFDM符号。最后经过数模转换,将信号送入信道[7]。

在接收部分,完成了一个与发送部分相反的流程。首先通过模数转换模块将从信道中获得的模拟信号转换成数字信号,在假设各种同步都已完成的情况下,去除循环前缀,经过串行并行变换后,将得到的数据通过FFT模块,获得各个子载波上的接收信号。然后通过星座点逆映射,得到每个子载波上的接收比特。最后经并行串行变换得到接收比特流。

本次系统的设计主要以图2-4为框图,再加以同步系统来实现的。

2.5 OFDM信号的传输

OFDM的基本思想是把数据流串并变换为路速率较低的子数据流,用它们分别去调制路子载波后再进行传输。OFDM信号传输原理如下图所示:

图2-5 OFDM调制

图2-6 OFDM解调

由于子数据流的速率是原来的1/N 加倍,即符号周期扩大为原来的N 倍,远大于信道的最大延迟扩展,从而OFDM 就把一个宽带频率选择性信道划分为了N 个窄带平坦衰弱信道。从图中不难看出,还是一种子载波相互混叠的多载波通信方式,子载波之间相互正交,具有更高的频谱利用率,同时,虽然它们在频域相互混叠,却仍能在接受端被分离出来。

一个OFDM 符号内包含多个相移键控或者正交幅度调制的子载波。符号可以表示为多个相移键控(PSK )或者正交幅度调制(QAM )的子载波。OFDM 符号可以表示为

/212()/2/2()s i N j t t T i N i N s t d e π--+=-=

∑ s s t t t T ≤≤+ (2-1)

其中,T 表示OFDM 符号宽度,d 表示分配给每个子信道的数据符号,f 表示载波频率,起始时刻为t 。上式中的实部和虚部分别表示OFDM 符号的同相(I )和正交分量(Q)。

2.6 OFDM 系统的调制解调

图2-5和图2-6同时也给显示了了OFDM 调制解调原理。在发送端,高速的串行数据流经过串并转换后,转换成N 路并行的低速数据流,再调制到N 个正交的子载波上,并行送入信道进行传输。

一个基带OFDM 数据符号的表达式可以写成

120

1()(),0i N j f t k S t x k e t T N π-==≤≤∑ (2-2) 其中,()x k 是第k 个子载波传输的数据符号,一般是从PSK 或者QAM 星座点中取出,k f =k/T 为第k 个子载波的频率,T N t =?为OFDM 数据符号的周期,t ?为采样间隔,N 为OFDM 符号的样点数。各个子载波之间是相互正交的,频谱相互重叠,因此,可以获得较高的频谱利用率[8]。

在接收端,利用子载波的正交性,可以用一个匹配滤波器组来接收式上式中的OFDM 符号。当要从式中解调出第j 个子载波上的信号时,可采用频率为j/T 的谐波,并在时间T 内进行积分,由于各个子载波之间的正交性,当k≠j 时,两个谐波积分值为零,从而能正确的解调出所期望的第J 个子载波上的信号。

然而在实际应用中,上述方法所需设备非常复杂,需要大量的正弦波发生器,滤波器,调制器和解调器等设备,因此系统非常昂贵。为了降低OFDM 系统的复杂度和成本,我们考虑用离散傅立叶变换(DFT)和反变换(IDFT)来实现上述功能。如果

在发送端对D(m)做IDFT ,把结果经信道发送到接收端,然后对接收到的信号再做DFT ,取其实部,则可以不失真地恢复出原始信号D(m)。这样就可以利用离散傅立叶变换来实现OFDM 信号的调制和解调。用DFT 和IDFT 实现的OFDM 系统,大大降低了系统的复杂度,减小了系统成本,为OFDM 的广泛应用奠定了基础。

通常利用DFT 变换完成调制解调功能,对()S t 以周期△t 进行采样,可以得到下式:

1

20

1()(),01kn t N j N k S n t x k e n N N π?-=?=≤≤-∑ (2-3) 此式为星座符号()x k 的反离散傅里叶变换(IDFT )。在接收机中,可以用一个离散傅里叶变换(DFT )解调。因此频分复用可以在基带实现,而不需要使用传统的带通滤波器方法。同时,DFT 的快速算法—FFT 算法的发展大大降低了计算复杂度,从而为OFDM 技术的广泛应用奠定了基础。

3 OFDM 中的同步原理及误差分析

3.1 OFDM 系统中的主要同步技术

在介入本论文主要内容之前,已经给出OFDM 中频通信系统的基本组成框图,介绍该系统中各个组成部分的功能,在此进一步就系统的同步方面做进一步的分析,主要是阐明不同的同步方式在此系统中所处的位置以及不同的同步误差给系统带来的影响,以便在硬件实现各种同步算法之后,可应用到该系统当中,以它为仿真测试平台,验证算法正确性[9-11]。

在OFDM 系统中存在如下几个方面的同步要求:

1. 载波同步:接收端的振荡频率要与发送载波同频同相。

2. 样值同步:接收端和发射端的抽样频率一致。

3. 符号同步:IFFT 和FFT 起止时刻一致。

下图说明了 OFDM 系统中的同步要求,并且大概给出了各种同步在系统中所处的位置。

图3-1 OFDM 系统内的同步示意图

3.2 全数字OFDM 中频通信系统中涉及的同步原理

在发送端,串行发送的数据流{}k S 又首先经过串并变换成并行数据流,随后进行IFFT 变换,在经过并串变换后得到数据流{}n S ,插入循环前缀后得到{}n x ,在进行数字成形后得到信号{}t n x ,c f 调制到数字载波上后送到信道中进行传输。 发送端各点的信号可以表示为:

10

1exp(2/)N n k K s s j k N N π-==∑ ,0,1,2....., 1...n k N =- 而{}n x 可以表示为

,[0,1]n N G n x S n G -+=∈- (3-1) ,[0,1]n N G x S n N G -=∈+- (3-2)

1

0()()N G n s n x t x p t nT +-==-∑ (3-3)

式中,G 一所加循环前缀的长度

()t p 一发送端脉冲成形波形

S T 一发送端符号的抽样间隔。

为了便于研究,可假设满足理想抽样定理,且若不考虑循环前缀影响,则有近

似表达式 12/0

1()N j k T K x t e N π-==∑ (3-4) (1)存在载波频差△c f 和相差△φ必的情况

接收端,接收到的各点信号可以表示为

(2)(2)()[()()]c c j f j f t y t x t e t e

πφπφη∧∧

+-+=+ (3-5) 采样后的数据流{}n r 可以表示为 (2)1()c s s j f nT n t nT r y t e πφη∧-?+?==+ (3-6)

进行傅立叶变换,并将{}n r 的表达式代入上式,可得: 112/()(2)2/1001(

)s S c s N N j mnT NT j f nT J nk N k m n m R S e e e N ππφπη∧∧---?+?-===+∑∑ (3-7)

(2)存在OFDM 符号定时偏差△f t 的情况

存在符号定时偏差△f t 相当于从循环前缀后面/s f f n t T ∧

?=?个抽样开始的N

个抽样进行FFT 运算。即 12/()0f N j mk N K n n n n R r e π---?==∑ (3-8)

化简后得到 1

2/()0f N j mk N K n n n n R r e π---?==∑ (3-9) (3)存在抽样定时偏差y t ?,以及抽样间隔偏差s t ?的情况:

存在抽样定时偏差y t ?时,就相当于对接收信号()y t 在S y t nT t ∧

=-?时刻进行采样,得到n r :

(2)()()c s S S y y j f T n t nT t t nT t r y t X t e πφ∧∧-?+?=-?=-?== (3-10) 进行傅立叶变换,容易得到

112()2/1001(

)s N N j m nT j nk N K m n M R S e e N πφπη∧--+?-===+∑∑ (3-11)

当存在抽样间隔误差s T ?时,即s T 与S T ∧之间存在偏差,由于在硬件实现算法

中,没有涉及这部分内容,因此,在这里不作具体理论推导,只在后面定性分析其对系统造成的影响。

(4)存在整数频偏的情况

整数频偏是载波频偏的整数部分。假设0c f ?=,0φ?=时,有

(2/)1()()S

S j km N n t nT t nT r y t X t e πη∧∧-====+ (3-12) 而经过FFT 变换后得到的频域数据为

1

(2/)2/10(())S N j km N j nk N K t nT n R x t e e ππη∧---===+∑ (3-13) 以上介绍了存在载波频差△c f 和相差△φ、符号定时偏差△f t 、抽样定时偏差

y t ?、以及抽样间隔偏差s t ?、存在整数频偏的情况下对系统影响的原理分析,下一章将进一步讲解其具体的性能影响。

3.3全数字OFDM 中频通信系统中同步误差对系统性能的影响

3.3.1 载波偏差对系统性能的影响

(1)载波相位偏差φ?对系统性能的影响分析如下,

0c f ?=时j K

k R e S φη-?=+ (3-14) 由此可见,相位偏差φ?只引起了相位旋转,并没有改变信号的幅度,不会带

来信噪比的下降。 (2)载波频率偏差c f ?对系统性能的影响

0φ?= 时 112()(2)2/1001(

)s s N N j mn NT j fnT j nk N K m n M R S e e e N πφππη∧--+??-===+∑∑(3-15)

由于载波频率偏差c f ?破坏了各载波之间的正交性,使得信号的幅度也发生了

变化,带来了信噪比的下降,所以在系统中,载波同步较相位同步更加重要。

对于单载波系统,载波频率偏差对接收信号所造成的衰弱和相位旋转可以通过均衡等方法来加以克服。而对于多载波系统,OFDM 符号由多个子载波信号叠加构成,各个子载波之间利用正交性来区分,因此,实现载波同步,确保这种正交性对于系统来说至关重要,这也是系统的主要缺点之一[12]。

3.3.2 抽样偏差对系统性能的影响

(1)抽样间隔偏差城对系统性能的影响

抽样定时偏差s T ?破坏了各载波间的正交性,使得信号的幅度发生了变化。由于s T ?0≠,使得接收端的采样频率和发送端的不一致,这时,接收端后面按T ∧

为周期得到的抽样与实际发送端的抽样之间就存在一个小偏差这种小偏差累积到一定程度就会多出一个样值s T ?或者遗漏一个样值(s T ?>0)。

(2)OFDM 抽样定时偏差误差y t ?对系统性能的影响

当系统中只存在y t ?时,有 2/f s j k t NT K k R S e

πη-?=+ (3-16) 可见,此时y t ?只是使输出发生了相位旋转,既没有带来ICI ,也没有引起幅度

的变化。 3.3.3 OFDM 载波整数对系统性能的影响

当系统不存在小数频偏和相位偏差且不考虑噪声造成的影响下,有

1(2/)2/0(())S N j km N j nk N K t nT n R x t e e ππ∧---===∑= 1[2()/]0

()S N j k m n N t nT n x t e π∧--+==∑(3-17) 从上式不难看出,载波整数频偏只是造成了FFT 处理后数据的循环位移,也就是FFT 观察窗口的滑动,不会改变信号幅值,当然也就不会带来信噪比的下降。

3.3.4 符号同步误差对系统性能的影响

符号同步误差f t ?对系统性能的影响分析如下:

(完整版)基于FPGA的智能交通灯的设计毕业设计

目录 摘要 ............................................................. I 1 前言 (1) 2 交通红绿灯控制电路的发展与技术现状 (2) 2.1 交通控制系统以及交通红绿灯控制电路的发展现状 (2) 2.2 智能交通红绿灯控制电路技术的现状 (3) 3 VHDL、FPGA、Quartus ii简介 (5) 3.1 VHDL简介 (5) 3.1.1 VHDL简介 (5) 3.1.2 VHDL语言的特点 (6) 3.2 FPGA简介 (8) 3.2.1 PLD器件的设计特点 (8) 3.2.2 FPGA的基本结构 (10) 3.2.3 采用FPGA设计逻辑电路的优点 (11) 3.3 Quartus II 的简介 (12) 4 具体方案论证与设计 (13) 4.1 具体方案论证 (13) 4.2系统算法设计 (15) 4.3 具体电路原理图 (16) 4.4 电路仿真图 (16) 5 实验结果 (17) 总结 (18) 参考文献 ......................................... 错误!未定义书签。附录: .. (19)

基于FPGA的十字路口交通信号灯 摘要 本文主要介绍十字路口交通灯控制器的设计。首先,介绍交通控制系统以及交通红绿灯控制电路的发展现状;然后采用硬件描述语言进行的交通灯控制器设计。重点介绍了控制系统各部分的设计,以及各个模块之间的同步处理。为了克服交通信号灯控制系统传统设计方法的弊端,更加适应城镇交通现状,利用VHDL语言、采用层次化混合输入方式,设计了具有3种信号灯和倒计时显示器的交通信号灯控制系统,在 QuartusⅡ下进行仿真,并下载到FPGA中制作成实际的硬件电路进行了模拟运行.使用该方法设计的交通灯控制系统电路简单、运行可靠、易于实现,可实现对交通信号的控制和显示功能。 关键词 FPGA;QUARTUS ii;HDPLD;十字路口交通灯控制器; Based on FPGA intersection traffic lights Abstract This paper describes the design of intersection traffic signal controller.First, the introduction of traffic control systems and traffic light control circuit of the development status; then using language designed for the traffic light controller.Focus on various parts of the control system

中小型企业网络规划设计方案

湖南工业职业技术学院 工程项目实践报告 项目名称:企业网络规划与设计 院(系):信息工程系 专业:计算机网络 班级:s2011-2-22 学生姓名:朱佳才 指导教师:黄晗文 完成时间:2014.3.23

目录 No table of contents entries found. 一.工程概况 公司有一栋独立大楼,高4层,每层面积2000平方米。由研发技术部(成员60人,分成硬件(25)和软件(35)2大部门)、生产部(主要产品是手持电子产品,110人,管理人员10人)和市场部(30个销售,10个工程师)组成。还有一般企业都有的后勤部门和财务部门等。公司管理层组成:董事会,1个总经理,3个副总经理。3个总监。

二.需求分析 1. 用户需求 公司网络需求主要有以下几点: (1).1层为市场部和后勤部; 2层为生产部; 3层为研发技术部; 4层为公司内部管理人员办公室和财务部。 (2). 各部门都有各自独立的文件服务器,且文件服务器通常不允许跨部门访问。.但管理层办公室可以访问四个部门的文件服务器。 (3). 公司内部的计算机间采用公司内部的电子邮件系统和IM(即时通讯)系统联系。 (4). 公司内部网络与Interner之间采用10M光纤接入。 (5). 公司内部架设Web服务器,对Internet提供公司的形象和电子商务服务。 (6). 财务部可以访问财务数据服务器,其他部门不能访问财务数据服务器。 (7). 为保证安全,Internet与公司内部网络间应该采用防护措施,防止外界对内部网络未经授权的访问。 (8). 具体要求: WWW服务

E-mail、FTP服务 集团内行政管理 拨号上网服务 文件服务管理系统 数据库服务 防火墙系统 邮件服务 视频会议系统 2.稳定可靠需求 现代企业的网络应具有更全面的可靠性设计,以实现网络通信的实时畅通,保障企业生产运营的正常进行。随着企业各种业务应用逐渐转移到计算机网络上来,网络通信的无中断运行已经成为保证企业正常生产运营的关键。现代大型企业网络在可靠性设计方面主要应从以下3个方面考虑。 (1)、设备的可靠性设计:不仅要考察网络设备是否实现了关键部件的冗余备份,还要从网络设备整体设计架构、处理引擎种类等多方面去考察。 (2)、业务的可靠性设计:网络设备在故障倒换过程中,是否对业务的正常运行有影响。 (3)、链路的可靠性设计:以太网的链路安全来自于多路径选择,所以在企业网络建设时,要考虑网络设备是否能够提供有效的链路自愈手段,以及快速重路由协议的支持。

计算机网络安全毕业论文范文

浅谈计算机网络安全现状与防护策略 提要互联网技术在给人们生活带来方便的同时,也正受到日益严重的来自网络的安全威胁。针对这些问题,本文提出一些网络信息安全防护方法和策略。 关键词:计算机网络;信息安全;网络入侵 近年来,互联网技术在全球迅猛发展,信息化技术在给人们带来种种物质和文化生活享受的同时,我们也正受到日益严重的来自网络的安全威胁,诸如网络的数据窃贼、黑客的侵袭、病毒发布者,甚至系统内部的泄密者。尽管我们正在广泛地使用各种复杂的软件技术,如防火墙、代理服务器、侵袭探测器、通道控制机制等,但是无论在发达国家还是在发展中国家,网络安全都对社会造成了严重的危害。如何消除安全隐患,确保网络信息的安全,已成为一个重要问题。 一、网络存在的安全威胁分析 1、计算机病毒。有些计算机网络病毒破坏性很大,如“CIHH病毒”、“熊猫烧香病毒”,可谓是人人谈之而色变,它给网络带来了很严重的损失。 2、内部、外部泄密。内网中根据IP地址很容易找到服务器网段,这样就很容易运用ARP欺骗等手段攻击。 3、逻辑炸弹。逻辑炸弹是在满足特定的逻辑条件时按某种不同的方式运行,对目标系统实施破坏的计算机程序。 4、黑客攻击。这类攻击又分为两种:一种是网络攻击。即以各种方式有选择地破坏对方信息的有效性和完整性;另一种是网络侦察,它是在不影响网络正常工作的情况下,进行截取、窃取、破译以获得对方重要的机密信息。 5、系统漏洞的威胁。网络软件不可能是百分之百的无缺陷和无漏洞的,这些漏洞和缺陷恰恰是黑客进行攻击的首选目标。 二、计算机网络系统安全策略 1、网络物理安全策略。计算机网络系统物理安全策略的目的是保护计算机系统、网络服务器、网络用户终端机、打印机等硬件实体和通信链路免受自然灾害、人为破坏和攻击;验证用户的身份和

fpga毕业设计开题报告.doc

fpga毕业设计开题报告 FPGA(Field-Programmable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。以下是fpga毕业设计,欢迎阅读。 1选题目的意义和可行性 在这个时间就是金钱的年代里,数字电子钟已成为人们生活中的必需品。目前应用的数字钟不仅可以实现对年、月、日、时、分、秒的数字显示,还能实现对电子钟所在地点的温度显示和智能闹钟功能,广泛应用于车站、医院、机场、码头、厕所等公共场所的时间显示。随着现场可编程门阵列( field program-mable gate array ,FPGA) 的出现,电子系统向集成化、大规模和高速度等方向发展的趋势更加明显,作为可编程的集成度较高的ASIC,可在芯片级实现任意数字逻辑电路,从而可以简化硬件电路,提高系统工作速度,缩短产品研发周期。故利用FPGA这一新的技术手段来研究电子钟有重要的现实意义。设计采用FPGA现场可编程技术,运用自顶向下的设计思想设计电子钟。避免了硬件电路的焊接与调试,而且由于FPGA的I /O 端口丰富,内部逻辑可随意更改,使得数字电子钟的实现较为方便。本课题使用Cyclone EP1C6Q240的FPGA器件,完成实现一个可以计时的数字时钟。该系统具有显示时、分、秒,智能闹钟,按键实现校准时钟,整点报时等功能。满足人们得到精确时间以及时间提醒的需求,方便人们生活。 2 研究的基本内容与拟解决的主要问题 2.1研究的基本内容 数字时钟是采用电子电路实现对时间进行数字显示的计时

装置,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度不断提高。 数字时钟系统的实现有很多,可以利用VerilogDHL语言在Quartus II里实现时、分、秒计数的功能。在芯片内部存储器设24个字节分别存放时钟的时、分、秒信息。数字时钟首先是秒位(共8位)上按照系统时钟CLK进行计数,存储器内相应的秒值加1;若秒位的值达到60(110000),则将其清零,并将相应的分位(共8位)的值加1;若分值达到60(110000),则清零分位,并将时位(共8位)的值加1;若计数满24(100100)后整个系统从0开始重新进行计数。 本设计使用Cyclone EP1C6Q240的FPGA器件为核心,通过编写程序,完成此电子时钟的主要功能显示时,分,秒,以及通过按键实现校准时钟主要功能,使用LED液晶屏显示,分别显示时,分,秒。并且能够实现附加功能----闹铃设置功能和整点报时。 2.2 拟要解决的问题 本设计电子钟系统功能简单,用Cyclone EP1C6Q240的FPGA器件为核心,通过编写程序,完成此电子时钟的主要功能。 本课题主要解决以下问题: (1) 学习VerilogDHL语言、运用Quartus II环境进行程序设计。 用VerilogDHL语言能进行综合的电路设计,也可用于电路的仿真;设计的 规模是任意的,语言不对设计规模施加任何限制;内置各种基本的逻辑门。便于改进和扩充,有利于本系统的研制,并使其性能更完备的。

中小型园区网的设计与实现-毕业论文-终稿

目录 第一章企业网建设综述 (4) 1.1 项目建设目标 (4) 1.2 项目建设原则 (4) 1.3 基本建设描述 (5) 第二章需求分析 (5) 2.1 企业背景 (5) 2.2 网络规划 (6) 2.3 计算机网络综合布线 (7) 2.4 网络拓扑结构 (7) 2.4.1网络拓扑结构示意图 (7) 2.4.2网络中心组建 (8) 2.4.3生产车间网络组建 (9) 2.4.4企业各部门IP分配表 (9) 第三章网络设备的配置和管理 (11) 3.1 划分子网 (12) 3.2 划分VLAN的方法 (13) 3.2.1什么是VLAN (13)

3.2.2 VLAN划分的几点好处 (14) 3.2.3设置VLAN的常用方法 (14) 3.3 端口配置及划分VLAN (15) 3.3.1汇聚层交换机FLOOR1_HJ连接及配置说明 (15) 3.3.2汇聚层交换机FLOOR2_HJ连接及配置说明 (17) 3.3.3汇聚层交换机FLOOR3_HJ连接及配置说明 (19) 3.3.4汇聚层交换机FLOOR4_HJ连接及配置说明 (21) 3.3.5汇聚层交换机FLOOR5_HJ连接及配置说明 (22) 3.3.6核心层交换机CORE连接及配置说明 (24) 3.5 配置静态路由 (25) 3.6 连接广域网 (27) 3.7 网络安全控制及管理 (30) 第四章企业网组建方案总结 (30) 参考文献 (32)

中小型园区网的设计与实现 前言 计算机网络特别是INTERNET的产生和发展在现代科技技术史上具有划时代的意义和影响,计算机网络的飞速发展日新月异,计算机网络彻底改变了人们的工作方式和生活方式,改变了企事业单位的运营和管理模式。 在现今的网络建设中,中小型园区网的建设是非常重要和普遍的,如:校园网和企业网。园区网经历了从早期简单的数据共享到现在园区网内部全方位、高速度、多种类应用的共享及服务的转变。下面就以某企业的实际情况,设计一个可以正常运行的园区网,并对建成的网络进行优化,采用多种手段对网络进行优化是网络组建成果的重要组成部分,缺少优化的网络就算硬件配置在高,还是不能把网络资源充分利用。安全和稳定的运行是企业网追求的终极目标。 第一章企业网建设综述 1.1 项目建设目标 建设分层的交换式以太网络,对建成企业网络进行优化,使其得到充分的利用。

计算机网络安全管理本科毕业设计(论文)

佛山科学技术学院成人高等教育 毕业设计(论文)题目:计算机网络安全管理 摘要 计算机网络安全问题,直接关系到一个国家的政治、军事、经济等领域的安全和稳定。目前黑客猖獗,平均每18秒钟世界上就有一次黑客事件发生。因此,提高对网络安全重要性的认识,增强防范意识,强化防范措施,是保证信息产业持续稳定发展的重要保证和前提条件。 文中首先论述了信息网络安全内涵发生的根本变化,阐述了我国发展民族信息安全体系的重要性及建立有中国特色的网络安全体系的必要性,以及网络的安全管理。进一步阐述了

网络拓扑结构的安全设计,包括对网络拓扑结构的分析和对网络安全的浅析。然后具体讲述了网络防火墙安全技术的分类及其主要技术特征,防火墙部署原则,并从防火墙部署的位置详细阐述了防火墙的选择标准。同时就信息交换加密技术的分类及RSA算法作了简要的分析,论述了其安全体系的构成。最后分析网络安全技术的研究现状和动向。 关键词:网络安全;防火墙;安全技术 Computer Network Security MinJi-eng Abstract Abstract:The computer network security problem, directly relates to domain the and so on a national politics, military, economy security and the stability. At present the hacker is rampant, in the average every 18seconds worlds has a time of hacker attack to occur. Therefore, enhances to

毕业论文(某某公司的网络规划和设计方案)

目录 论文摘要 (2) 一、需求分析 (3) (一)**公司简介 (3) (二)网络要求 (4) (三)系统要求 (4) (四)设备要求 (4) 二、网络系统设计规划 (5) (一)网络设计总体目标 (5) (二)网络IP地址规划 (5) (三)网络技术方案设计 (6) (四)网络应用系统选择 (14) (五)网络安全系统设计 (14) 三、网络布线系统设计 (14) (一)布线系统总体结构设计 (14) (二)工作区子系统设计 (15) (三)水平子系统设计 (15) (四)干线子系统设计 (15) 四、测试 (15) 五、总结 (17) 六、参考文献 (19)

七、致谢 (20) 论文摘要 对现实的中的公司的网络构建与规划有了一定的了解。随着近年来企业信息化建设的深入,企业的运作越来越融入计算机网络,企业的沟通、应用、财务、会议等等都在企业网络上传输,构建一个大型企业网络已经成为企业信息化建设成功的关键基石。在这里对一个月实习的成果做一个总结。 整个项目主要讲述网络的构建,构建的内容主要讲述的以**公司为原型,按照公司的网络构建要求施行,采用基于树型的星型结构,其中涉及交换机的基本配置、三层交换的VLAN的划分、路由器的基本配置、单臂路由、路由协议RIP、VTP、DHCP等。 一个公司的网络作为一公司交流的平台与载体,需要具有一定的实用性和可靠性,结合**公司的需求构建这个网络,通过了解**公司的结构、特点,结合实际提出了这个基于树型的星型结构的网络结构方案。

关键词:网络交换RIP VTP 单臂路由DHCP VLAN **公司的网络规划和设计方案 (开封大学软件学院10级网络系统管理班) 一、需求分析 (一)**公司简介 我做的这个毕业设计项目是一个以网络构建为主的毕业设计,其中主要涉及到有网络的构建、交换级与路由器的配置等。 **公司现在需要构建一个网络,总公司与两个分公司处于不同的城市,公司分内网与外网,内网设有服务器,仅供内部使用。公司员工都可以上外网。总公司与两个分公司通过专线互联,达到相互通信的目的,总公司和两个分公司通过总部路由器R1配置NAT上网,第一、二分公司按照各自的规模进行网络规划。

有关计算机网络毕业的论文计算机网络毕业论文:浅析计算机网络安全技术

有关计算机网络毕业的论文计算机网络毕业论文: 浅析计算机网络安全技术 摘要:随着计算机网络越来越深入到人们生活中的各个方面,计算机网络的安全性也就变得越来越重要。计算机网络的技术发展相当迅速,攻击手段层出不穷。而计算机网络攻击一旦成功,就会使网络上成千上万的计算机处于瘫痪状态,从而给计算机用户造成巨大的损失。因此,认真研究当今计算机网络存在的安全问题,提高计算机网络安全防范意识是非常紧迫和必要的。 关键词:安全问题;相关技术;对策 虽然计算机网络给人们带来了巨大的便利,但由于计算机网络具有联结形式多样性、终端分布不均匀性和网络的开放性、互连性等特征,致使网络易受黑客、恶意软件和其他不轨的攻击,所以网上信息的安全和保密是一个至关重要的问题。加强网络安全建设,是关系到企业整体形象和利益的大问题。目前在各企业的网络中都存储着大量的信息资料,许多方面的工作也越来越依赖网络,一旦网络安全方面出现问题,造成信息的丢失或不能及时流通,或者被篡改、增删、破坏或窃用,都将带来难以弥补的巨大损失。而对于政府等许多单位来讲,加强网络安全建设的意义甚至关系到国家的安全、利益和发展。 1 几种计算机网络安全问题 1.1 TCP/IP 协议的安全问题。目前网络环境中广泛采用的TCP/IP 协议。互联网技术屏蔽了底层网络硬件细节,使得异种网络之间可以互相通信,正因为其开放性,TCP/IP 协议本身就意味着一

种安全风险。由于大量重要的应用程序都以TCP 作为它们的传输层协议,因此TCP 的安全性问题会给网络带来严重的后果。 1.2 网络结构的安全问题。互联网是一种网间网技术。它是由无数个局域网连成的巨大网络组成。当人们用一台主机和另一局域网的主机进行通信时,通常情况下它们之间互相传送的数据流要经过很多机器的重重转发,任何两个节点之间的通信数据包,不仅为这两个节点的网卡所接收,也同时为处在同一以太网上的任何一个节点的网卡所截取。因此,黑客只要接入以太网上的任一节点进行侦测,就可以捕获发生在这个以太网上的所有数据包,对其进行解包分析,从而窃取关键信息。加之互联网上大多数数据流都没有进行加密,因此黑客利用工具很容易对网上的电子邮件、口令和传输的文件进行破解,这就是互联网所固有的安全隐患。 1.3 路由器等网络设备的安全问题。路由器的主要功能是数据通道功能和控制功能。路由器作为内部网络与外部网络之间通信的关键设备,严格说来,所有的网络攻击都要经过路由器,但有些典型的攻击方式就是利用路由器本身的设计缺陷展开的,而有些方式干脆就是在路由器上进行的。 2 计算机网络安全的相关技术 计算机网络安全的实现有赖于各种网络安全技术。从技术上来说,网络安全由安全的操作系统、安全的应用系统、防病毒、防火墙、入侵检测、网络监控、信息审计、通信加密、灾难恢复、安全扫描等

浅析网络安全的技术-毕业设计(论文)外文翻译 (2)

附件1 外文资料翻译译文: 浅析网络安全的技术 过去两个世纪中,工业技术代表了一个国家的军事实力和经济实力。飞速发展的今天,对信息技术的掌握是在二十一世纪增强综合国力的关键。 随着计算机技术的发展,在计算机上处理业务已由基于单机的数学运算、文件处理,基于简单连结的内部网络的内部业务处理、办公自动化等发展到基于企业复杂的内部网、企业外部网?、全球互联网的企业级计算机处理系统和世界范围内的信息共享和业务处理。在信息处理能力提高的同时,系统的连结能力也在不断的提高。但在连结信息能力、流通能力提高的同时,基于网络连接的安全问题也日益突出。本文主要从以下几个方面进行探讨: 一、网络在开放的同时存在的安全问题 Internet的开放性以及其他方面因素导致了网络环境下的计算机系统存在很多安全问题。为了解决这些安全问题,各种安全机制、策略和工具被研究和应用。然而,即使在使用了现有的安全工具和机制的情况下,网络的安全仍然存在很大隐患,这些安全隐患主要可以归结为以下几点: 1)安全机制的局限 每一种安全机制都有一定的应用范围和应用环境。防火墙是一种有效的安全工具,它可以隐蔽内部网络结构,限制外部网络到内部网络的访问。但是对于内部网络之间的访问,防火墙往往是无能为力的。因此,对于内部网络到内部网络之间的入侵行为和内外勾结的入侵行为,防火墙是很难发觉和防范的。 2)安全管理机制的建立 常用的安全管理机制有:口令管理;各种密钥的生成、分发与管理;全网统一的管理员身份鉴别与授权;建立全系统的安全评估体系;建立安全审计制度;建立系统及数据的备份制度;建立安全事件/安全报警反应机制和处理预案;建立专门的安全问题小组和快速响应体系的运作等。 为了增强系统的防灾救灾能力,还应制定灾难性事故的应急计划,如紧急行动方案,资源(硬件,软件,数据等)备份及操作计划,系统恢复和检测方法等。 3)安全工具的影响

基于FPGA的四层电梯控制系统设计毕业设计论文

毕业论文Array 基于FPGA的四层电梯控制系统设计

毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作者签名:日期: 指导教师签名:日期: 使用授权说明 本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名:日期:

学位论文原创性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。 作者签名:日期:年月日 学位论文版权使用授权书 本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。 涉密论文按学校规定处理。 作者签名:日期:年月日 导师签名:日期:年月日

企业网络规划与设计(H3C)

毕业设计(论文) 企业网络规划与设计 系别:计算机信息工程系 专业名称:计算机网络技术 学生姓名: 指导教师姓名: 完成日期 xxxx年xx月 xx 日

毕业设计论文摘要 随着Internet技术的日益普及,网络技术的飞速发展,企业信息化工作越来越受到重视,进入二十一世纪后,企业信息化不再满足于个人或单个部门的少量计算机应用,而逐步过渡到多部门、整个企业甚至跨企业跨地域的大量计算机的协同工作,因此我们需要把这些计算机用网络联系起来,这也就是我们所说的企业网。本文是对某IT企业的一个企业网络规划设计的解决方案,文章首先分析了企业网络的设计需求,根据需求提出了设计原则与设计目标,制定了总体的规划设计方案,然后再分层次具体地对该企业的局域网和广域网进行设计,在该方案中,我们采用了VLAN、三层交换、千兆交换等先进网络技术,基本满足了该企业的需求,并留有足够的扩充空间,以适应今后发展。 关键词企业网络规划设计 VLAN

目录 1 引言 (2) 2 概述 (4) 2.1企业概况分析 (5) 2.2企业网络设计需求分析 (5) 3网络总体规划 (6) 3.1企业网络设计目标 (6) 3.2企业网络设计原则 (6) 3.3网络设计相关协议说明 (7) 4网络具体规划与设计 (9) 4.1企业网络拓扑结构设计 (9) 4.2 应用到的五个技术 (10) 4.3设备选型 (16) 致谢 (19) 参考文献 (20)

1 引言 目前,对于国内的部分企业而言,计算机技术的应用很大程度上还只是停留在单机应用的水平上,应用软件也只是办公软件和简单的数据库应用。但是,随着计算机网络技术不断发展与普及、企业信息化的逐步深入和企业自身发展需求日益增大,在充分利用现有资源、不需要很大投资的基础上,构建适合自身情况、满足实际需求的网络系统是非常必要的,也是切实可行的 社会进入信息时代后,要求企业用信息技术来强化企业的管理、生产和经营,而企业要创造更多的经济效益就必须借助信息技术来提高企业的生产效率和管理水平,这不但适用于大型企业,对占相当比重的中小企业同样适用。网络技术的发展使得网络建设从基础架构到维护和管理都变得十分简单和智能,丰富的网络产品线和不断降低的价格,可以让中小企业根据自身的情况,按照实际的经济条件来构建自己的网络,用于网络建设的投资对于企业而言不再成为一个负担。各自为战的单机应用逐步暴露出现有资源利用率低、信息冗余大等问题,而解决这些问题的惟一途径就是建设一个满足应用需求的网络系统来实现资源的共享。一个成功的企业不仅要了解世界,还要让世界知道自己。实现这个目标的最佳途径就是要利用Internet。通过Internet,企业不仅可以获得大量的有价值的信息,同时也可以将企业的信息通过Internet发布到世界各地。 因此,企业进行计算机网络的建设,不仅是信息社会发展的要求,也是自身发展所必须的。 2 概述 企业网络指的是具有一定规模的网络系统,它可以是单座建筑物内的局域网,可以是覆盖一个园区的园区网,还可以是跨地区的广域网,其覆盖范围可以是几公里、几十公里、几百公里,甚至更广。狭义的企业网主要指大型的工业、商业、金融、交通企业等各类公司和企业的计算机网络;广义的企业网则包括各种科研、教育部门和政府部门专有的信息网络。 我国的企业网络建设经过了单机应用阶段,目前正处在Internet应用热潮中。但从目前情况看国内相当多的企业还处于网络初步应用阶段,其具有以下特点:1应用水平较低,分散且不一致。企业网络缺乏整体性的设计,没有统一的标准,在业务互相衔接的应用系统之间缺乏一致性;2应用者的整体水平比较低,缺乏对计算机和

计算机网络技术毕业论文63033751

计算机网络技术毕业论文63033751 天津电子信息职业技术学院(软件学院) 毕业论文 题目湖南曙光电子信息学院局域网的组建 姓名郭奕杰 专业班级网络S09-4班 指导教师许晓华 完成时间 2012年6月 天津电子信息职业技术学院(软件学院)制 2012.6 摘要: 随着网络建设的逐步普及,大学高校局域网络的建设是高校向高水平、研究性大学跨进的必然选择,高校校园网网络系统是一个非常庞大而复杂的系统,它不仅为高校的发展、综合信息管理和办公自动化等一系列应用提供基本操作平台,而且,能够使教育、教学、科研三位一体,提高教育教学质量。而校园网网络建设中主要应用了网络技术中的重要分支局域网技术来建设与管理的,因此本毕业设计课题将主要以校园局域网络建设过程可能用到的各种技术及实施方案为设计方向,为校园网的建设提供理论依据和实践指导。高校校园网的网络建设与网络技术发展几乎是同步进行的。高校不仅承担着教书育人的工作,更承担着部分国家级的科研任务,同时考虑未来几年网络平台的发展趋势, 为了充分满足高校骨干网对高速,智能,安全,认证计费等的需求,可以利用万兆以太网的校园网组网技术。构建校园网骨干网,实现各个分校区和本部之间的连接,以及实现端到端的以太网访问,提高了传输的效率,有效地保证了远程多媒体教学、数字图书馆等业务的开展。

关键词: 校园网;网络设备;服务器;网络管理;网络安全 目录 一、引 言 ..................................................................... .. (1) (一) 背景及意 义 ..................................................................... ........ 1 (二) 目前校园网络现 状 (2) (三) 校园网建设的原 则 (2) 二、校园网需求分 析 ..................................................................... . (3) (一) 学校建筑现状分 析 (3) (二) 学校子网需求划 分 (4) (三) 学校VLAN需求划 分 ............................................................ 5 三、校园网络设备配 置 ..................................................................... (8)

网络信息安全(毕业论文).doc

网络信息安全(毕业论文) 目录 前言 摘要 第1章计算机网络的概述 1.1 计算机网络系统的定义,功能,组成与主要用途 第2章网络信息安全概述 2.1 网络信息安全的定义 2.2 网络信息安全问题的产生与网络信息安全的威胁 第3章实例 3.1 网络信息应用中字符引发的信息安全问题 参考 结束语 前言 随着计算机技术的不断发展,计算机网络已经成为信息时代的重要特征,人们称它为信息高速公路。网络是计算机技术和通信技术的产物,是应社会对信息共享和信息传递的要求发展起来的,各国都在建设自己的信息高速公路。我国近年来计算机网络发展的速度也很快,在国防、电信、银行、广播等方面都有广泛的应用。我相信在不长的时间里,计算机网络一定会得到极大的发展,那时将全面进入信息时代。 正因为网络应用的如此广泛,又在生活中扮演很重要的角色,所以其安全性是不容忽视的,它是网络能否经历考验的关键,如果安全性不好会给人们带来很多麻烦。网络信息交流现已是生活中必不可少的一个环节,然而信息安全却得不到相应的重视。本文就网络信息的发展,组成,与安全问题的危害做一个简单的探讨 摘要

本文就网络信息安全这个课题进行展开说明,特别针对字符引发的信息安全问题。第1章计算机网络的概述简要说明计算机网络的发展,网络的功能,网络的定义,网络系统的组成以及网络的主要用途。第2章对网络安全做一个概述。第3章简单探讨一下字符过滤不严而引发的网络信息威胁 第1章 1.1计算机网络系统的定义,功能,组成与主要用途 计算机网络源于计算机与通信技术的结合,其发展历史按年代划分经历了以下几个时期。 50-60年代,出现了以批处理为运行特征的主机系统和远程终端之间的数据通信。 60-70年代,出现分时系统。主机运行分时操作系统,主机和主机之间、主机和远程终端之间通过前置机通信。美国国防高级计划局开发的ARPA网投入使用,计算机网处于兴起时期。 70-80年代是计算机网络发展最快的阶段,网络开始商品化和实用化,通信技术和计算机技术互相促进,结合更加紧密。网络技术飞速发展,特别是微型计算机局域网的发展和应用十分广泛。 进入90年代后,局域网成为计算机网络结构的基本单元。网络间互连的要求越来越强,真正达到资源共享、数据通信和分布处理的目标。 迅速崛起的Internet是人们向往的"信息高速公路"的一个雏形,从它目前发展的广度和应用的深度来看,其潜力还远远没有发挥出来,随着21世纪的到来,Internet必将在人类的社会、政治和经济生活中扮演着越来越重要的角色。 计算机网络的发展过程是从简单到复杂,从单机到多机,从终端与计算机之间的通信发展到计算机与计算机之间的直接通信的演变过程。其发展经历了具有通信功能的批处理系统、具有通信功能的多机系统和计算机网络系统三个阶段。 1.具有通信功能的批处理系统 在具有通信功能的批处理系统中,计算机既要进行数据处理,又要承担终端间的通信,主机负荷加重,实际工作效率下降;分散的终端单独占用一条通信线路,通信线路利用率低,费用高。 2.具有通信功能的多机系统

毕业论文的设计计算机科学与技术

计算机科学与技术 毕业论文的设计 课题名称:学生宿舍局域网建设 专业: 班级: 姓名: 学号: 起迄日期: 指导教师:

学生宿舍局域网建设 摘要: 当今时代是一个以信息技术(Information Technology, 简称IT )为代表的知识经济时代,各种先进的科学技术迅猛发展,给人们的生活带来了深远的影响,它极大的改善我们的生活方式。以计算机技术和网络通信技术为代表的信息科技 改变着我们的生活,从手机通信到3G技术,从互联网普及到电子商务的广泛应用,而其中的计算机网络技术的发展更为迅速,从有线网络普及入户,到无线网络遍及生活中的各种场所,人们的生活已经离不开计算机网络,并且随着因特网的普及与发展,给我们的学习与生活带来极大的方便。 随着人们对于信息资源共享以及信息交流的迫切需求,促使网络技术快速发展。在人类信息文明的发展过程中,计算机网络的作用越来越明显。随着计算机网络管理功能的强化,计算机硬件技术和软件技术都与网络技术融合到一起。人们越来越意识到网络的重要性,通过网络,人们拉近了彼此之间的距离。本来分散在各处的计算机被网络紧紧的联系在了一起。计算机局域网作为网络的一个常见类型,发挥了不可忽视的作用。在这个局域网中,我们可以在它们之间共享程序、文档等各种资源。随着网络应用的发展计算机病毒形式及传播途径日趋多样化,安全问题日益复杂化,网络安全建设已不再像单台计算安全防护那样简单。计算机网络安全需要建立多层次的、立体的防护体系,要具备完善的管理系统来设置和维护对安全的防护策略。学生宿舍局域网作为学校中最小的网络个体, 文章将从网络组建、网络设置及网络安全等方面进行分析。 关键词:局域网、Internet 、计算机网络、网络安全

(完整版)企业网络规划与设计毕业设计论文

摘要 1.综合布线系统是一套用于建筑物内或建筑群之间为计算机、通信设 施与监控系统预先设置的信息传输通道。本文就综合布线的发展历 程、经济性能的浅析、工程设计原理、综合布线中电磁干扰问题以 及我国的相关验收标准做出了简要的阐述,并以一个工程实例,给 出了一个综合布线系统工程设计的方案。 关键字:局域网设计规划拓扑结构网络设备清单局域网管理

毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作者签名:日期: - 指导教师签名:日期: 使用授权说明 本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名:日期:

学位论文原创性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。 作者签名:日期:年月日 学位论文版权使用授权书 本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。 涉密论文按学校规定处理。 作者签名:日期:年月日

毕业论文,学校网络安全探究,毕业设计

论文题目:宿舍网络安全探究 专业:电子信息技术工程 准考证号: 学生姓名:宫野 指导教师:秦贵和 2012 年 3月 7 日

宿舍网络安全探究 摘要 Internet是一个开放的、互操作的通信系统,其基础协议是TCP/IP。Internet协议地址(简称IP地址)是TCP/IP网络中可寻址设施的唯一逻辑标识,它是一个32位的二进制无符号数。对于Internet上的任一主机,它都必须有一个唯一的IP地址。IP地址由InterNIC及其下级授权机构分配,没有分配到自己的IP地址的主机不能够直接连接到Internet。 随着Internet的迅速发展,IP地址的消耗非常快,据权威机构预测,现行IPv4版本的IP只够用到2007年。现在,企业、机构、个人要申请到足够的IP地址都非常困难,作为一种稀缺资源,IP地址的盗用就成为很常见的问题。特别是在按IP流量计费的CERNET 网络,由于费用是按IP地址进行统计的,许多用户为了逃避网络计费,用IP地址盗用的办法,将网络流量计费转嫁到他人身上。另外,一些用户因为一些不可告人的目的,采用IP地址盗用的方式来逃避追踪,隐藏自己的身份。 IP地址盗用侵害了Internet网络的正常用户的权利,并且给网络计费、网络安全和网络运行带来了巨大的负面影响,因此解决IP地址盗用问题成为当前一个迫切的课题。 IP地址的盗用方法多种多样,其常用方法有很多种,例如静态修改IP地址、成对修改IP-MAC地址等等,针对IP盗用问题,我们将从网卡交换机以及协议方面详细讲解防止IP地址的盗用的方法。 关键词:通信系统 IP地址盗用

Dormitory network security explored Pick to Internet is an open, interoperable communications system, its basic agreement is TCP/IP. Internet protocol address (hereinafter referred to as the IP address) is TCP/IP network addressable facilities of the only logical logo, it is a 32-bit binary unsigned number. For the Internet of any one of the host, it must have a unique IP address. IP address and its authorized organization by InterNIC at a lower level distribution, not assigned to own the IP address of host can't directly connected to the Internet. With the rapid development of Internet, the consumption of IP address is very fast, according to authorities forecast, the current IPv4 version of the IP only enough to 2007. Now, enterprises, institutions and individuals who want to apply for to enough IP address is very difficult, as a scarce resource, the IP address for theft will become very common problem. Especially in the IP flow of billing CERNET network, because of cost is according to the IP address of the statistics, many users in order to escape network billing, with IP address to the theft, network flow billing passed on to others. In addition, some users because some ulterior purpose, USES the IP address of the theft way to avoid tracking, to hide their identity. IP address infringement of Internet network theft of normal user rights, and to the network billing, network security and network operation brings huge negative effects, therefore solve the IP address theft problem currently become a pressing issue. The IP address for theft various ways, the commonly used method has a lot of kinds, such as static IP address changes in pairs, modify IP-MAC address and so on, in

基于FPGA的数字频率计设计毕业论文

武汉轻工大学 毕业设计外文参考文献译文本 2013届 原文出处:from Vin Skahill.VHDL for Programmable Logic page 76-88 毕业设计题目:基于FPGA的数字频率计设计 院(系):电气与电子工程学院 专业名称:电子信息科学与技术 学生姓名: 学生学号: 指导教师:

Introduction of digital frequency meter Digital Frequency is an indispensable instrument of communications equipment, audio and video, and other areas of scientific research and production . In addition to the plastic part of the measured signal, and digital key for a part of the show, all the digital frequency using Verilog HDL designed and implemented achieve in an FPGA chip. The entire system is very lean, flexible and have a modification of the scene. 1 、And other precision measuring frequency Principle Frequency measurement methods can be divided into two kinds: (1) direct measurement method, that is, at a certain time measurement gate measured pulse signal number. (2) indirect measurements, such as the cycle frequency measurement, VF conversion law. Frequency Measurement indirect measurement method applies only to low-frequency signals. Based on the principles of traditional frequency measurement of the frequency of measurement accuracy will be measured with the decline in signal frequency decreases in the more practical limitations, such as the accuracy and frequency of measurement not only has high accuracy, but also in the whole frequency region to maintain constant test accuracy. The main method of measurement frequency measurement Preferences gated signal GATE issued by the MCU, GATE time width on the frequency measurement accuracy of less impact, in the larger context of choice, as long as the FPGA in 32 of 100 in the counter b M Signals are not overflow line, in accordance with the theoretical calculation GATE time can be greater than the width Tc 42.94 s, but due to the single-chip microcomputer data processing capacity constraints, the actual width of less time, generally in the range of between 0.1 s choice, that is, high-frequency, shorter gate;, low gate longer. This time gate width Tc based on the size of the measured frequency automatically adjust frequency measurement in order to achieve the automatic conversion range, and expanded the range of frequency measurement; realization of the entire scope of measurement accuracy, reduce the low-frequency measurement error. The design of the main methods of measuring the frequency measurement and control block diagram as shown in Figure 1. Figure 1 Preferences gated signal GA TE issued by the MCU, GA TE time width of less frequency measurement accuracy, in the larger context of choice, as long as the FPGA in 32 of 100 in the counter b M

相关主题
文本预览
相关文档 最新文档