当前位置:文档之家› 数字电子练习题

数字电子练习题

数字电子练习题
数字电子练习题

数字电路练习题

第一部分 门电路

一、 填空题

1. 数字集成电路按开关元件不同,可分为 TTL 集成电路 和 CMOS 集成电路 两大类。

2. 数字电路中的三种基本逻辑门电路是 与门 、 或门 、 非门 。

3.三态门是在普通门的基础上增加 控制 电路构成的,它的三种输出状态是 高电平、 低电平 和 高阻态 。

4. 与门、与非门的闲置输入端应接 高 电平;或门、或非门的闲置输入端应接 低 电平。

5. 图1所示三态门在1EN =时,Y 的输出状态是 高阻态 。

6. 利用TTL 与非门实现输出线与应采用 OC 门,实现总线传输应采用 三态 门。

7. 图2为几种常见逻辑门电路的逻辑符号,试分别写出其名称和逻辑表达式。

名称 逻辑表达式 名称 逻辑表达式 (a ) 与门

(b ) 非门

(c ) 与非门 (d ) 或非门

8. 当决定某一件事情的多个条件中有一个或一个以上具备时,该件事情就会发生,这种关系称为 或 逻辑关系。

二、 选择题

1. 下列几种逻辑门中,能用作反相器的是 C 。

A. 与门

B. 或门

C. 与非门

2. 下列几种逻辑门中,不能将输出端直接并联的是 B 。

A. 三态门

B. 与非门

C. OC 门

3. TTL 与非门的输入端在以下四种接法中,在逻辑上属于输入高电平的是 C 。

A. 输入端接地

B. 输入端接同类与非门的输出电压0.3V

C. 输入端经10k Ω电阻接地

D. 输入端经51Ω电阻接地

4. TTL 与非门的输入端在以下4种接法中,在逻辑上属于输入低电平的是 D 。

A. 输入端经10k Ω电阻接地

B. 输入端接同类与非门的输出电压3.6V

C. 输入端悬空

D. 输入端经51Ω电阻接地

5. 逻辑电路如图3所示,该电路实现的逻辑关系为 C 。

A. Y AB =

B. Y AB =

C. Y AB =

D. Y A B =+

6. 图4为TTL 逻辑门,其输出Y 为 D 。

A. AB C +

B. A BC +

C. A B C ++

D. AB

Y

A

B 图1 填空题5用图

A B

Y

(a)

Y

A B

Y

A (d)

(c)

图2 填空题7用图

(b)

图3 选择题5用图

Y

A

图4 选择题6用图

Y

7. 图5电路实现的逻辑功能是

C 。A. Y AB = B. =+Y A B C. =⊕Y A B D. ⊙=Y A B

8. 门电路使用时需要外接负载电阻和电源的是 D 。

A. 与门

B. 与非门

C. 异或门

D. OC 门 9. 以下各种接法不正确的是 D 。

A. 与非门闲置输入端接1

B. 或非门闲置输入端接地

C. TTL 与非门闲置输入端悬空

D. CMOS 门闲置输入端悬空

10. 图6中能实现Y AB =功能的TTL 门是 B 。

11. 图7中,能实现=+Y AB AB 的逻辑门是 C 。

12. 图8中电路连接和给定逻辑功能都正确的是 C 。

六、画图题

先写出图12所示各门电路的逻辑表达式,再根据输入信号A 、B 的波形,对应画出各个门的输出波形。

Y

Y

(B) (A)

图6 选择题10用图 Y

Y A (B)

(A) (C) 图7 选择题11用图 Y A (D) A A B Y =1

图8 选择题

12用图 (D) Y AB CD =+

Y Y Y (B) (A) (C) Y V

解:

波形如图12

第二部分 组合逻辑电路

一、 填空题

1. 逻辑代数的三种基本逻辑运算是 与 、 或 和 非 ,在电路上,分别用 与 门、 或 门和 非 门来实现。

2. 逻辑变量和逻辑函数的取值只有 0 和 1 两种可能。

3. 逻辑函数的表示方法有 真值表 、 逻辑表达式 、 逻辑图 、 波形图 、 卡诺图五种。

4.“全1出0,有0出1”描述的逻辑关系是 与非逻辑 。

5. 11+= 0 ,1

+

6. A B +=AB = A+B ,AB AB += A 。

7. 101ABC =Y = 1 。

8. 二进制的基数是 2 ,其第i 位的权值是 2i-1 。

9. 在二-十进制码中,1位十进制数用 4 位二进制码表示,8421BCD 码从高位到低位的权值依次为 8、4、2、1 。

10.(93)10=( 101 1101 )2,(93)10=( 1001 0011 )8421BCD

11. 最简与或式的标准有两个,即 与项数最少 、 每个与项中变量数最少 。 12. 常用的集成组合逻辑电路有 编码器 、 译码器 、 数据选择器 等。 13. 编码器的功能是将输入信号转化为 二进制代码输出 。

14. 编码器可分为 二进制编码器 和 二-十进制编码器 ,又可分为 普通编码器 和 优先编码器 。

15. 常用的译码器电路有 二进制译码器 、 二-十进制译码器 和 显示译码器 等。

A

B Y 1 Y 2 =1

Y 3 Y 4

图12

题六用图

Y 3

Y 1

2

A B

Y 4

=1

16. 七段显示译码器74LS48输出高电平有效,用以驱动共阴极LED 显示器。当输入

01010123=A A A A 时,输出abcdefg = 1011011 ,显示字形 5 。

17. 数据选择器的逻辑功能是 从2n 个输入信号中选择一个送到唯一输出端 ;数据分配器的逻辑功能是 根据地址信号的要求将公共总线上的一路输入数据分配到指定输出通道上去 。

二、 选择题

1.“入1出0,入0出1”的逻辑运算关系属于 C 。

A. 与运算

B. 或运算

C. 非运算

D. 与非运算

2. 使函数Y AB AB =+的值为0的变量取值组合为AB = C 。

A. 00、11

B. 00、10

C. 01、10

D. 01、11

3. 使函数CD AB Y +=之值为1的变量取值组合是 A 。

A. AB =00 ,CD 至少有一个0

B. AB =01 ,CD 至少有一个1

C. AB =10 ,CD =11

D. AB =11 ,CD 任意组合

4. Y ABC AC BC =++,当1A C ==时, D 。

A. Y B =

B. B Y =

C. 0=Y

D. 1=Y

5. 3个逻辑变量的取值组合共有 C 种。

A. 3

B. 6

C. 8

D. 16

6. 下列逻辑函数属于与非式的是 B 。

A. Y AB =

B. Y AB =

C. Y ABC =

D. Y ABC =

7. 与++AB AC BC 相等的式子是 A 。

A. +AB C

B. +AB AC

C. +AB BC

D. +AB AB

8. 图1所示波形关系的逻辑函数表达式为 C 。

A. =Y AB

B. =+Y A B

C. =⊕Y A B

D. ⊙=Y A B

9. 表1所示的真值表,其函数式为 C 。

A. =(⊕)Y A A B

B. =?⊕Y A A B

C. =(⊕)Y A A B

D. =?⊕Y A A B

10. 余3码的0011对应的十进制数是 C 。

A. 3

B. 6

C. 0

D. 9

11. 二进制数1001 0110转换为十进制,应得 A 。

A. 150

B. 151

C. 96

D. 98

12. 十进制数35转换为二进制数得 A ,转换为8421BCD 码得 C 。

A. 100011

B. 100001

C. 00110101

D. 01010011

13. 属于无权码的是 B 。

图1 选择题8用图

A. 8421码

B. 余3码

C. 2421码

D. 自然二进制码

14. 组合逻辑电路通常由 A 组成。

A. 门电路

B. 编码器

C. 译码器

D. 数据选择器

15. 8线-3线优先编码器74LS148,低电平输入有效,反码输出。当对5I 编码时,输出210Y Y Y 为 C 。

A. 000

B. 101

C. 010

D. 100

16.优先编码器同时有两个或两个以上信号输入时,是按 D 给输入信号编码。

A. 高电平

B. 低电平

C. 高频率

D. 高优先级

17. 8421BCD 译码器74LS42输出低电平有效,当输入32101000A A A A =时,其输出09Y Y ~等于 D 。

A. 0000000010

B. 1011111111

C. 010*******

D. 1111111101 18. 4选1数据选择器构成逻辑函数产生器的电路连接如图2所示,该电路实现的逻辑函数是 C 。

A. Y AB =

B. Y AB AB =+

C. Y AB AB =+

D. Y A B =+

四、计算题

1. 将下列十进制数转换为二进制数。

(1)(25)10=(11001)2 (2)(53.25)10=(110101.01)2

2. 将下列二进制数转换为十进制数。

(1)(1001)2 =(9)10 (2)(1001011)=(75)10

3. 将下列各数转换为8421BCD 码。

(1)(100011)2 =(0011 0101)8421BCD (2)(231)10=(0010 0011 0001)8421BCD 4. 将下列数码分别看作自然二进制数和8421BCD 码,求出相应的十进制数。

(1) 10010111 (2) 0101 0110 0011

(10010111)2=(151)10 (0101 0110 0011)2=(1379)10 (10010111)8421BCD =(97)10 (0101 0110 0011)8421BCD =(563)10

五、组合逻辑电路设计题

1. 某产品有A 、B 、C 、D 四项指标。其中规定主要指标A 、B 必须满足要求,其余指标C 、D 只要有一个达标即可判定产品Y 为合格。试设计一个逻辑电路实现此产品合格判定功能,要求:(1)列出真值表,(2)写出输出函数的最简与或式,(3)画出用与非门实现该电路的逻辑图。

解: (1)

图2 选择题18用图

(2)

(3)

2. 现有三个车间,每个车间各需10kW电力,这三个车间由两台发电机组供电,一台功率为10kW,另一台功率为20kW。三个车间经常不同时工作。试用与非门和异或门设计一个逻辑电路,能够根据各车间的工作情况,以最节约电能的方式自动完成配电任务。

解:

(1)

(2)

(3)

3. 用集成译码器74LS138和4输入与非门实现表2所示真值表的逻辑功能。先写出逻辑表达式,再画出逻辑电路图。

解: (1)

(2)

4. 分别用8选1数据选择器和4选1数据选择器实现逻辑函数Y AC BC =+,画出逻辑图。

解:

(1)

(2)

S 2 S 1

A

B

C C

5. 设计一个电路实现图3所示的逻辑功能。要求:(1)列出真值表,(2)写出函数表达式,(3)用4选1数据选择器实现电路。

解: (1)

(2)

(3)

六、组合逻辑电路分析题

1. 试分析图4所示电路的逻辑功能。

解:

(1)

图4 电路分析题1用图

A B C

A B

Y

图3 组合逻辑电路设计题5用图

(2)

(3) 三人表决器电路

2. 试分析图5所示电路的逻辑功能。

解:①

③二变量同或电路

3. 图6是BCD-七段显示译码/驱动器74LS48驱动一位数码管的连接方法。请问,当输入8421BCD 码为32100011A A A A =时,图中发光二极管a g LED LED ~的亮灭情况如何?数码管显示的字形是什么?

图5 电路分析题2用图

解:a、b、c、d、g亮,e、f灭。显示“3”。

4.二-十进制译码器74LS42按图7连接。请列出电路的真值表,说明电路的逻辑功能。

图7 电路分析题4用图

解:

由真值表可知,电路实现3线-8线译码器的逻辑功能。

5. 3线-8线译码器74LS138构成的电路如图8所示,A B C 、、为输入变量。试写出输出函数Y 的最简与-或表达式,列出真值表,描述此电路的逻辑功能。 解: (1) (2)

(3)

判奇电路

第3章 集成触发器

一、填空题:

1.触发器有 两 个稳定状态,当0=Q ,1=Q 时,称为 0 态;当1=Q ,0=Q 时,称为 1 态。

2.基本RS 触发器有保持 、置0 、置1 功能;D 触发器有 置0 和 置1功能。 3.JK 触发器具有 保持 、 置0 、置1 和 翻转 的功能。

4.欲使JK 触发器实现1+=n n Q Q 的功能,则输入端J 应接 高电平,K 应接高电平。 5.触发器的逻辑功能通常可用真值表、 特性方程 、状态转换图 和 工作波形图 四种方法描述。

6. 由两个与非门组成的同步RS 触发器,在正常工作时不允许输入S=R=1,即约束条件为SR= 0 。

7. 触发器按逻辑功能分为 RS 、 JK 、 D 、 T 、 T ′ 五种类型。 8. 欲将JK 触发器转换为T 触发器,只需令J= K = T ,去掉JK 触发器的 置0 和 置1 两种功能即可。

二、选择题:

1.由与非门组成的基本RS 触发器,不允许输入D R 和D S 的变量取值组合为 A 。

A. 0 0

B. 0 1

C. 1 0

D. 1 1

2.存在空翻问题的触发器是 B 。

A. 边沿D 触发器

B. 同步RS 触发器

C. 主从JK 触发器

3.仅具有“置0”“置1”功能的触发器叫 C 。

A. JK 触发器

B. RS 触发器

C. D 触发器

4.仅具有“保持”“翻转”功能的触发器叫 B 。

A. JK 触发器

B. T 触发器

C. D 触发器

5.具有“置0”“置1“保持”和“计数翻转”功能的触发器叫 A 。

图8 电路分析题5用图

A. JK 触发器

B. D 触发器

C. T 触发器

6.仅具有“翻转”功能的触发器叫 B 。

A. JK 触发器

B. T ′触发器

C. D 触发器

7.JK 触发器用做T ′触发器时,控制端J 、K 正确接法是 B 。

A. =n J Q =n K Q

B.J =K =1

C.=n J Q =n K Q

8.D 触发器用做T ′触发器时,输入控制端D 的正确接法是 B 。

A. =n D Q

B. =n D Q

C. D =1

9.触发器由门电路构成,但它不同于门电路的功能,主要特点是 B 。

A. 和门电路功能一样

B. 有记忆功能

C. 没有记忆功能 10.TTL 型触发器的直接置0端R d 、置1端S d 正确用法是 C 。 A. 都接高电平“1” B. 都接低电平“0”

C. 逻辑符号有小圆圈时,不用时接高电平“1”,没有小圆圈时,不用时接低电平

“0”

11.当T 触发器的T=1时,触发器具有 C 功能。

A. 保持

B. 禁止

C. 计数

D. 置位

12.为防止空翻,应采用 C 结构的触发器。

A. CMOS

B. TTL

C. 主从或维持阻塞

13.存在一次翻转现象的触发器是 C 。

A. 边沿JK 或边沿D 触发器

B. 同步RS 触发器

C. 主从JK 触发器

14. 以下触发器受输入信号直接触发的是 A 。

A. 基本RS 触发器

B. 同步RS 触发器

C.JK 触发器

15.不能用作计数器的触发器是 A 。

A. 同步RS 触发器

B. 边沿D 触发器

C.边沿JK 触发器

16. 在CP 有效时,若JK 触发器的J 、K 端同时输入高电平,则其次态将会 D 。

A. 保持

B. 置0

C. 置1

D. 翻转

17. 存在不定状态的触发器是 A 。

A. RS 触发器

B. D 触发器

C.JK 触发器

D. T 触发器

四、画图题

1.在基本RS 触发器中,已知D R 、D S 的波形如图1所示,试画Q ,Q 的波形。(初态0=Q )

图1 画图题1用图

图5 画图题6用图

图4 画图题5用图

上升沿触发

下降沿触发

2.在同步RS 触发器中,已知R 、S 的波形如图2所示,试画Q ,Q 的波形。(初态0=Q ) 解:

3.已知D 锁存器有输入波形如图3所示,试画出其Q 端的波形(设触发器初态为Q=0)。 解:

4.画出两种JK 触发器的逻辑符号:(略)

(1) CP 脉冲上升沿触发有效; (2)CP 脉冲下降沿触发有效。

5.若JK 触发器初态为0,试根据图4中CP 、J 、K 端波形画出Q ,Q 的波形。

6.已知CP ,D 和T 的输入波形如图5,试画出其相应的输出波形。设初态0=Q 。

图2 画图题2用图

Q 图3 画图题3用图

7. 在图6(a )所示电路中,加入图6(b )所示的输入波形,试画出其Q 端波形,设触发器初态为Q=0。

8.如图7各触发器,可设其初态为0=Q 或1=Q 。试分别画出各电路对应4个CP 脉冲作用下的输出端Q 的波形。 解:

9.如图8所示为两个D 触发器构成的时序电路。设第0个CP 即初始状态时1000=Q Q ,试画出在4个CP 脉冲作用下0Q 、1Q 端的波形,列出输出组合10Q Q 对应输入CP 脉冲顺序的真值表。

图7 画图题8用图

(a ) (b )

(c )

(d )

Q

(a )

(c )

(d )

(a) (b)

图6 画图题7用图

解:

第4章 时序逻辑电路

一、填空题

1. 对于时序逻辑电路来说,某一时刻电路的输出不仅取决于当时的 输入状态 ,而且还取决于电路 原来的状态 。所以时序电路具有 记忆 性。

2. 计数器的主要用途是对脉冲进行 计数 ,也可以用作 分频 和 定时 等。

3. 用n 个触发器构成的二进制计数器计数容量最多可为 2n

-1 。 4. 计数器按计数进位制,常用的有 二进制 、 十进制 计数器。 5. 用来累计和寄存输入脉冲数目的部件称为 计数器 。 6. 寄存器可分成 基本 寄存器和 移位 寄存器。

7. 4位移位寄存器经过 4 个CP 脉冲后,4位数码恰好全部移入寄存器,再经过 4 个CP 脉冲,可以得4位串行输出。

8. 寄存器主要用来暂时存放 二进制数据或代码 ,是一种常用的时序逻辑部件。

9. 一个触发器可以构成 1 位二进制计数器,它有 2 种工作状态,若需要表示n 位二进制数,则需要 n 个触发器。

10. 在计数器中,若触发器的时钟脉冲不是同一个,各触发器状态的更新有先有后,则这种计数器称为 异步计数器 。

11. 在计数器中,当计数脉冲输入时,所有触发器同时翻转,即各触发器状态的改变是同时进行的,这种计数器称为 同步计数器 。

CP

图8 画图题9用图

状态图

波形图

二、选择题

1. 一个4位二进制加法计数器起始状态为1001,当接到4个脉冲时,触发器状态为C 。

A. 0011

B. 0100

C. 1101

D. 1100

2. 构成计数器的基本单元是 C 。

A. 与非门

B. 或非门

C. 触发器

D. 放大器

3. 某计数器在计数过程中,当计数器从111状态变为000状态时,产生进位信号,此计数器的计数长度是 A 。

A. 8

B. 7

C. 6

D. 3

4. 4个触发器可以构成 C 位二进制计数器。

A. 6位

B. 5位

C. 4位

D. 3位

5. 4位二进制计数器有 C 计数状态。

A. 4个

B. 8个

C.16个

D. 32个

6. 一位8421BCD 码十进制计数器至少需要 B 个触发器。

A. 3个

B. 4个

C. 5个

D. 6个

7. 要组成六进制计数器,至少应有 A 个触发器。

A. 3个

B. 4个

C. 5个

D. 6个

8. 寄存器由 C 组成。

A. 门电路

B. 触发器

C. 触发器和具有控制作用的门电路。

9. 移位寄存器工作于并入-并出方式,则信息的存取与时钟脉冲CP A 关。

A. 有

B. 无

C. 时有时无

10. 一个4位二进制加法计数器初始状态为0000,经过2008次CP 触发后它的状态将变为 C 。

A. 0000

B. 0110

C. 1000

D. 1001

11. 利用M 进制计数器构成N (N <M )进制计数器,异步清0法或异步置0法用于产生清0或置0信号的状态是 C ;同步清0法或同步置0法用于产生清0或置0信号的状态是 A 。

A. S N -1

B. S N -2

C. S N

D. S N+1

12. 分析图1所示计数器的波形图,可知它是 B 进制计数器。

A. 二进制计数器

B. 五进制计数器

C. 六进制计数器

D. 十进制计数器

四、综合分析题

1. 试画出由D 触发器组成的四位右移寄存器逻辑图,设输入的4位二进制数码为1101,画出移位寄存器的工作波形。

解:

图1 选择题12用图

CP

Q 0

Q 1 Q 2

2. 在图2所示的逻辑电路中,试画出Q 1和Q 2端的波形,时钟脉冲的波形CP 如图所示。设初始状态Q 1=Q 2=0。

解:

3. 根据图3所示的逻辑图及相应的CP 、D R 和D 的波形,试画出Q 1端和Q 2端的输出波形,设初始状态Q 1=Q 2=0。

图3 综合题3用图

解:

4. 电路如图4所示,试画出Q 1和Q 2的波形。设两个触发器的初始状态均为0。 解:

5. 图5是一个自循环移位寄存器逻辑图,触发器初始状态为100,在CP 端连续输入6个时钟脉冲,用表格形式列出在6个时钟脉冲作用下3个触发器的状态变化。

解:

图4 综合题4用图

图5 综合题5用图

6. 电路如图6所示。设Q A =1,红灯亮;Q B =1,绿灯亮;Q

C =1,黄灯亮。试分析该电路,说明三组彩灯点亮的顺序。初始状态三个触发器的Q 端均为0。

解:

由状态表可知,此三组彩灯点亮的顺序为:红灯亮---绿灯亮---黄灯亮---全亮---全灭再依次循环。

9. 74LS194连成图9所示电路。先清0,再使M 1M 0=01。在时钟脉冲的作用下,电路的状态如何变换?列出状态转换表,画出状态转换图,说明该电路为模几计数器。

答:该电路为模8计数器。状态表与状态图如下:

图6 综合题6用图

数字电子技术基础习题及答案

数字电子技术试卷(1) 一.填空(16) 1.十进制数123的二进制数是 1111011 ;十六进制数是 7B 。 2.100001100001是8421BCD 码,其十进制为 861 。 3.逻辑代数的三种基本运算是 与 , 或 和 非 。 4.三态门的工作状态是 0 , 1 , 高阻 。 5.描述触发器逻辑功能的方法有 真值表,逻辑图,逻辑表达式,卡诺图,波形图 。 6.施密特触发器的主要应用是 波形的整形 。 7.设4位D/A 转换器的满度输出电压位30伏,则输入数字量为1010时的输出模拟电压为 。 8.实现A/D 转换的主要方法有 , , 。 三.化简逻辑函数(14) 1.用公式法化简- -+++=A D DCE BD B A Y ,化为最简与或表达式。 解;D B A Y +=- 2.用卡诺图化简∑∑=m d D C B A Y ),,,,()+,,,,(84210107653),,,(,化为最简与或表达式。 四.电路如图1所示,要求写出输出函数表达式,并说出其逻辑功能。(15) 解;C B A Y ⊕⊕=, C B A AB C )(1++=,全加器,Y 为和,1C 为进位。 五.触发器电路如图2(a ),(b )所示,⑴写出触发器的次态方程; ⑵对应给定波形画 出Q 端波形(设初态Q =0)(15) 解;(1)AQ Q Q n +=- +1,(2)、A Q n =+1 六.试用触发器和门电路设计一个同步的五进制计数器。(15) 七.用集成电路定时器555所构成的自激多谐振荡器电路如图3所示,试画出V O ,V C 的工 作波形,并求出振荡频率。(15)

数字电子技术试题及答案题库

《 数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 本试卷共 6 页,满分100 分;考试时间:90 分钟;考试方式:闭卷 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数( ),作为8421BCD 码时,它相当于 十进制数( )。 2.三态门电路的输出有高电平、低电平和( )3种状态。 3.TTL 与非门多余的输入端应接( )。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接( )电平。 5. 已知某函数??? ??+??? ??++=D C AB D C A B F ,该函数的反函数F =( ) 。 6. 如果对键盘上108个符号进行二进制编码,则至少要( )位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为( )V ,其输出高电平为( )V ,输出低电平为( )V , CMOS 电路的电源电压为( ) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( )根地址线,有( )根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( )位。 11. );Y 3 =( )。

12. 某计数器的输出波形如图1所示,该计数器是( )进制计数器。 13.驱动共阳极七段数码管的译码器的输出电平为( )有效。 二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( )个。 A .16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( )。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( )种。 A .15 B .8 C .7 D .1

数字电子技术习题附答案

一、填空题。 1 .基本的逻辑门电路有与,或,非。 2.基本逻辑运算有_与_、或、非3种。 3.描述逻辑函数各个变量取值组合与函数值对应关系的表格叫真值表。 4.十进制数72用二进制数表示为 1001000 ,用8421BCD码表示为 01110010 。二进制数111101用十进制数表示为 61 5.数制转换:(8F)16 = ( 143 )10= ( 10001111 )2 = ( 217 )8;(3EC)H = ( 1004 )D; (2003) D = (11111010011)B = ( 3723)O。 6.有一数码10010011,作为自然二进制数时,它相当于十进制数147,作为8421BCD码时,它相当于十进制数93 。 7.(35.75)10=( 100011.11 )2 = ( 00110101.01110101 )8421BCD 。 8.在8421BCD码中,用 4 位二进制数表示一位十进制数。 9.在逻辑运算中,1+1= 1 ;十进制运算中1+1= 2 ;二进制运算中1+1= 10 。 10、表示逻辑函数功能的常用方法有逻辑表达式、逻辑真值表、卡诺图等。 11.将2004个“1”异或得到的结果是(0)。 12.TTL门电路中,输出端能并联使用的有__OC门__和三态门。 13. 在TTL与非门电路的一个输入端与地之间接一个10KΩ电阻,则相当于在该输入端输入高电平。14.TTL与非门多余输入端的处理方法通常有接至正电源,接至固定高电平,接至使用端。 https://www.doczj.com/doc/3c16021571.html,逻辑门是单极型门电路,而TTL逻辑门是双极型门电路。 16.与TTL电路相比,COM电路具有功耗低、抗干扰能力强、便于大规模集成等优点。 17.TTL门电路的电源电压一般为 5 V,CMOS电路的电源电压为3—18 V 。 18.OC门的输出端可并联使用,实现线与功能;三态门可用来实现数据的双向传递、总线结构等。19.三态门输出的三态为1、0、高阻态。 20.为使F=A ,则B应为何值(高电平或低电平)? 1 0 1 21.指出图中各TTL门电路的输出是什么状态(高电平、低电平、高阻)? Y1=0 Y2=1 Y3=高阻态Y4=1 22.若上题图中各电路为CMOS门电路,请问各门电路的输出是什么状态? Y1=1 Y2=1 Y3=高阻态Y4=1 23.函数Y=AB+AC的最小项表达式为(5,6,7) m ∑。 24. 如果对键盘上108个符号进行二进制编码,则至少要7位二进制数码。 注意:红色字体部 分为简略参考答 案,解题过程不全 面、不详细。

数字电子技术题库

一、 选择题 1. 求逻辑函数Y AB B AB =++的最简与或式( ) ()()()()1A A B AB B A B C A B B D +++++ 2. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C . F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 3. 求逻辑函数C B A ABC C B A ABC Y ++++=的最简与或式 A. C B A ABC Y ++= B. C B A Y += C. C B Y +=1 D. 1 4. 求逻辑函数C B A ABC C B A ABC Y ++++=的最简与或式 A. B AB Y += B. 1=Y C. B A Y += D. AC AB ABC Y ++= 5. 求逻辑函数01234689101114(,,,)(,,,,,,,,,,)Y A B C D m m m m m m m m m m m =∑最简与或式( ) ()()()()A B CD AD B B CD ACD C B C D AD D B CD AD ++++++++ 6. 函数Y ABC AB =+的最简与或式( ) ()()()0()1A AB AB AC BC B AB AB A C BC C D ++++++ 7. 逻辑函数()Y ABC AB AB BC =+++,最少需要几个与非门可以实现此逻辑( ) (A) 2 (B) 3 (C) 4 (D) 5 8. 逻辑函数()Y CD A B ABC ACD =⊕++约束条件0AB CD +=的最简与或式( ) ()()()()A B AD AC B B AD A C C B A D AC D B AD AC ++++++++ 9. 逻辑函数(,,)Y A B C ABC AC BC =++的标准与或式为( )

数字电子技术试题及答案(题库)

《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数( ),作为8421BCD 码时,它相当于 十进制数( )。 2.三态门电路的输出有高电平、低电平和( )3种状态。 3.TTL 与非门多余的输入端应接( )。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接( )电平。 5. 已知某函数??? ??+??? ??++=D C AB D C A B F ,该函数的反函数F =( ) 。 6. 如果对键盘上108个符号进行二进制编码,则至少要( )位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为( )V ,其输出高电平为( )V ,输出低电平为( )V , CMOS 电路的电源电压为( ) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( )根地址线,有( )根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( )位。 11. );Y 3 =( )。

12. 某计数器的输出波形如图1所示,该计数器是( )进制计数器。 13.驱动共阳极七段数码管的译码器的输出电平为( )有效。 二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( )个。 A .16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( )。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( )种。 A .15 B .8 C .7 D .1 7. 随机存取存储器具有( )功能。 A.读/写 B.无读/写 C.只读 D.只写 8.N 个触发器可以构成最大计数长度(进制数)为( )的计数器。

《数字电子技术基础》课后习题答案

《数字电路与逻辑设计》作业 教材:《数字电子技术基础》 (高等教育出版社,第2版,2012年第7次印刷) 第一章: 自测题: 一、 1、小规模集成电路,中规模集成电路,大规模集成电路,超大规模集成电路 5、各位权系数之和,179 9、01100101,01100101,01100110; 11100101,10011010,10011011 二、 1、× 8、√ 10、× 三、 1、A 4、B 练习题: 1.3、解: (1) 十六进制转二进制: 4 5 C 0100 0101 1100 二进制转八进制:010 001 011 100 2 1 3 4 十六进制转十进制:(45C)16=4*162+5*161+12*160=(1116)10 所以:(45C)16=(10001011100)2=(2134)8=(1116)10 (2) 十六进制转二进制: 6 D E . C 8 0110 1101 1110 . 1100 1000 二进制转八进制:011 011 011 110 . 110 010 000 3 3 3 6 . 6 2 十六进制转十进制:(6DE.C8)16=6*162+13*161+14*160+13*16-1+8*16-2=(1758.78125)10 所以:(6DE.C8)16=(011011011110. 11001000)2=(3336.62)8=(1758.78125)10 (3) 十六进制转二进制:8 F E . F D 1000 1111 1110. 1111 1101

二进制转八进制:100 011 111 110 . 111 111 010 4 3 7 6 . 7 7 2 十六进制转十进制: (8FE.FD)16=8*162+15*161+14*160+15*16-1+13*16-2=(2302.98828125)10 所以:(8FE.FD)16=(100011111110.11111101)2=(437 6.772)8=(2302.98828125)10 (4) 十六进制转二进制:7 9 E . F D 0111 1001 1110 . 1111 1101二进制转八进制:011 110 011 110 . 111 111 010 3 6 3 6 . 7 7 2 十六进制转十进制: (79E.FD)16=7*162+9*161+14*160+15*16-1+13*16-2=(1950. 98828125)10 所以:(8FE.FD)16=(011110011110.11111101)2=(3636.772)8=(1950.98828125)10 1.5、解: (74)10 =(0111 0100)8421BCD=(1010 0111)余3BCD (45.36)10 =(0100 0101.0011 0110)8421BCD=(0111 1000.0110 1001 )余3BCD (136.45)10 =(0001 0011 0110.0100 0101)8421BCD=(0100 0110 1001.0111 1000 )余3BCD (374.51)10 =(0011 0111 0100.0101 0001)8421BCD=(0110 1010 0111.1000 0100)余3BCD 1.8、解 (1)(+35)=(0 100011)原= (0 100011)补 (2)(+56 )=(0 111000)原= (0 111000)补 (3)(-26)=(1 11010)原= (1 11101)补 (4)(-67)=(1 1000011)原= (1 1000110)补

数字电子技术练习题及答案

数字电子技术练习题及答案 一、填空题 1、(238)10=( )2 =( EE )16。(110110.01)2=( 36.4 )16=( 54.25 )10。 2、德?摩根定理表示为 B A +=( B A ? ) , B A ?=( B A + )。 3、数字信号只有( 两 )种取值,分别表示为( 0 )和( 1 )。 4、异或门电路的表达式是( B A B A B A +=⊕ );同或门的表达式是( B A AB B A ?+=⊙ ) 。 5、组成逻辑函数的基本单元是( 最小项 )。 6、与最小项C AB 相邻的最小项有( C B A )、( C B A ? ) 和 ( ABC ) 。 7、基本逻辑门有( 与门 )、( 或门 )和( 非门 )三种。复合门有( 与非门 )、( 或非门 )、( 与或非门 )和( 异或门 )等。 8、 9、 10、最简与或式的定义是乘积项的( 个数最少 ),每个乘积项中相乘的( 变量个数也最少)的与或表达式。 11、在正逻辑的约定下,“1”表示( 高电平 ),“0”表示( 低电平 )。在负逻辑的约定下,“1”表示( 低电平 ),“0”表示( 高电平 )。 12、一般TTL 门电路输出端( 不能 )直接相连,实现线与。(填写“能”或“不能”) 13、三态门的三种可能的输出状态是( 高电平 )、( 低电平 )和( 高阻态 )。 14、实现基本和常用逻辑运算的(电子电路),称为逻辑门电路,简称门电路。 15、在TTL 三态门、OC 门、与非门、异或门和或非门电路中,能实现“线与”逻辑功能的门为(OC 门),能实现总线连接方式的的门为(三态门)。 16、T TL 与非门的多余输入端不能接( 低 )电平。 17、 18、真值表是将输入逻辑变量的( 所有可能取值 )与相应的( 输出变量函数值 )排列在一起而组成的表格。 19、组合逻辑电路是指任何时刻电路的稳定输出,仅仅只决定于(该时刻各个输入变量的取值)。 20、用文字、符号或者数码表示特定对象的过程叫做( 编码 )。把代码的特定含义翻译出来的过程叫( 译码 )。 在几个信号同时输入时,只对优先级别最高的进行编码叫做( 优先编码 )。 21、两个1位二进制数相加,叫做(半加器)。两个同位的加数和来自低位的进位三者相加,叫做(全加器)。 22、比较两个多位二进制数大小是否相等的逻辑电路,称为(数值比较器)。 23、半导体数码显示器的内部接法有两种形式:共(阳)极接法和共(阴)极接法。对于共阳接法的发光二极管数码显示器,应采用(低)电平驱动的七段显示译码器。 24、能够将( 1个 )输入数据,根据需要传送到( m 个 )输出端的任意一个输出端的电路,叫做数据分配器。 25、在多路传输过程中,能够根据需要将( 其中任意一路挑选出来 )的电路,叫做数据选择器,也称为多路选择器或多路开关。 26、触发器又称为双稳态电路,因为它具有( 两个 )稳定的状态。 27、根据逻辑功能不同,触发器可分为( RS 触发器 )、( D 触发器 )、( JK 触发器 )、( T 触发器 )和( T ’触发器 )等。根据逻辑结构不同,触发器可分为( 基本触发器 )、( 同步触发器 )和( 边沿触发器 )等。 28、JK 触发器在JK =00时,具有( 保持 )功能,JK =11时;具有( 翻转 )功能;JK =01时,具有( 置0 )功能;JK =10时,具有( 置1 )功能。 29、JK 触发器具有( 保持 )、( 置0 )、( 置1 )和( 翻转 )的逻辑功能。D 触发器具有( 置0 )和( 置1 )的逻辑功能。RS 触发器具有( 保持 )、( 置0 )和( 置1 )的逻辑功能。 T 触发器具有( 保持 )和( 翻转 )的逻辑功能。T ’触发器具有( 翻转 )的逻辑功能。 30、边沿触发器具有共同的动作特点,即触发器的次态仅取决于CP 信号( 上升沿或下降沿 )到来时刻输入的逻辑状态,而在这时刻之前或之后,输入信号的变化对触发器输出的状态没有影响。 31、基本RS 触发器的特性方程是( n n Q R S Q +=+1 );其约束条件是( 0=RS )。JK 触发器的特性方程是( n n n Q K Q J Q +=+1 );D 触发器的特性方程是( D Q n =+1 );T 触发器的特性方程是( n n n Q T Q T Q +=+1 ); T ’触发器的特性方程是( n n Q Q =+1 )。

数字电子技术试卷及答案

一、单项选择题(每小题1分,共15分) 在下列每小题的四个备选答案中选出一个正确的答案,并将其字母标号填入题干的括号内。 1.一位十六进制数可以用多少位二进制数来表示( C ) A . 1 B . 2 C . 4 D . 16 2.以下电路中常用于总线应用的是( A ) 门 门 C. 漏极开路门 与非门 3.以下表达式中符合逻辑运算法则的是( D ) ·C =C 2 +1=10 C.0<1 +1=1 4.T 触发器的功能是( D ) A . 翻转、置“0” B. 保持、置“1” C. 置“1”、置“0” D. 翻转、保持 5. 存储8位二进制信息要多少个触发器(D ) .3 C 6.多谐振荡器可产生的波形是( B ) A.正弦波 B.矩形脉冲 C.三角波 D.锯齿波 7.一个16选一的数据选择器,其地址输入(选择控制输入)端的个 数是( C ) .2 C 8.引起组合逻辑电路中竟争与冒险的原因是( C ) A.逻辑关系错; B.干扰信号; C.电路延时; D.电源不稳定。 9.同步计数器和异步计数器比较,同步计数器的最显著优点是( A ) A.工作速度高 B.触发器利用率高 C.电路简单 D.不受时钟C P 控制 10.N 个触发器可以构成能寄存多少位二进制数码的寄存器( B ) +1 11.若用J K 触发器来实现特性方程AB Q A Q n 1n +=+,则J K 端的方程应为 ( B ) =A B ,K =B A =A B ,K =B A =B A +,K =A B =B A ,K =A B 12.一个无符号10位数字输入的D A C ,其输出电平的级数是( C )

(完整版)华南理工大学数字电子技术试卷(含答案)

诚信应考,考试作弊将带来严重后果! 华南理工大学期末考试 《数字电子技术》试卷A 注意事项:1. 考前请将密封线内填写清楚; 2. 所有答案请直接答在试卷上(或答题纸上); 3.考试形式: 闭卷; 。 题号一二三四总分 得分 评卷人 一. 单项选择题:(在每小题的备选答案中选出一个正确的答案,并将正确答案 10 题号 123456789 答案 1 A.10000000 B. 000100101000 C.100000000 D.100101000 2.已知函数F的卡诺图如图1-1, 试求其最简与 或表达式 3. 已知函数的反演式为,其 原函数为()。 A. B . C. D. 4.对于TTL数字集成电路来说,下列说法那个是错误的: (A)电源电压极性不得接反,其额定值为5V; (B)不使用的输入端接1; (C)输入端可串接电阻,但电阻值不应太大; (D)OC门输出端可以并接。 5.欲将正弦信号转换成与之频率相同的脉冲信号,应用 A.T,触发器 B.施密特触发器

C.A/D转换器 D.移位寄存器 6.下列A/D转换器中转换速度最快的是()。 A.并联比较型 B.双积分型 C.计数型 D.逐次渐近型 7. 一个含有32768个存储单元的ROM,有8个数据输出端,其地址输入端有()个。 A. 10 B. 11 C. 12 D. 8 8.如图1-2,在TTL门组成的电路中,与非门的输入电流为I iL≤–1mA?I iH≤20μA。G1输出低电平时输出电流的最大值为I OL(max)=10mA,输出高电平时最大输 出电流为I OH(max)=–0.4mA 。门G1的扇出系数是()。 A. 1 B. 4 C. 5 D. 10 9.十数制数2006.375转换为二进制数是: A. 11111010110.011 B. 1101011111.11 C. 11111010110.11 D. 1101011111.011 10. TTL或非门多余输入端的处理是: A. 悬空 B. 接高电平 C. 接低电平 D.接”1” 二.填空题(每小题2分,共20分) 1.CMOS传输门的静态功耗非常小,当输入信号的频率增加时,其功耗将______________。 2. 写出四种逻辑函数的表示方法: _______________________________________________________________; 3.逻辑电路中,高电平用1表示,低电平用0表示,则称为___逻辑; 4. 把JK触发器改成T触发器的方法是_____________。 5.组合逻辑电路是指电路的输出仅由当前的_____________决定。 6.5个地址输入端译码器,其译码输出信号最多应有_____________个。 7.输入信号的同时跳变引起输出端产生尖峰脉冲的现象叫做_____________。8.一片ROM有10根地址线,8根数据输出线,ROM共有________个存储单元。9.N个触发器组成的计数器最多可以组成_____________进制的计数器。 8.基本RS触发器的约束条件是_____________。 三.电路分析题(36分) 1.图3-1(a)所示电路, 移位寄存器原来的数据是,数据从Di顺序输入到移位寄存器,试问: (1) 在图3-1(b)所示输入波形作用下,在T1到T2期间,输出端X、Y的波形? (2) 该电路的逻辑功能?(12分)

数字电子技术期末复习题库及答案完整版

数字电子技术期末复习 题库及答案 HEN system office room 【HEN16H-HENS2AHENS8Q8-HENH1688】

第1单元能力训练检测题 一、填空题 1、由二值变量所构成的因果关系称为逻辑关系。能够反映和处理逻辑 关系的数学工具称为逻辑代数。 2、在正逻辑的约定下,“1”表示高电平,“0”表示低电平。 3、数字电路中,输入信号和输出信号之间的关系是逻辑关系,所以数字电路也称为逻辑电路。在逻辑关系中,最基本的关系是与逻辑、或逻辑和 非逻辑。 4、用来表示各种计数制数码个数的数称为基数,同一数码在不同数位所代表的 权不同。十进制计数各位的基数是10,位权是10的幂。 5、8421 BCD码和2421码是有权码;余3码和格雷码是无权码。 6、进位计数制是表示数值大小的各种方法的统称。一般都是按照进位方式来实现计数的,简称为数制。任意进制数转换为十进制数时,均采用按位权展开求和的方法。 7、十进制整数转换成二进制时采用除2取余法;十进制小数转换成二进制时采用 乘2取整法。 8、十进制数转换为八进制和十六进制时,应先转换成二进制,然后再根据转换 的二进数,按照三个数码一组转换成八进制;按四个数码一组转换成十六进制。 9、逻辑代数的基本定律有交换律、结合律、分配律、反演律和 非非律。 10、最简与或表达式是指在表达式中与项中的变量最少,且或项也最少。 13、卡诺图是将代表最小项的小方格按相邻原则排列而构成的方块图。卡诺图的画图规则:任意两个几何位置相邻的最小项之间,只允许一位变量的取值不同。 14、在化简的过程中,约束项可以根据需要看作1或0。 二、判断正误题 1、奇偶校验码是最基本的检错码,用来使用PCM方法传送讯号时避免出错。(对) 2、异或函数与同或函数在逻辑上互为反函数。 (对) 3、8421BCD码、2421BCD码和余3码都属于有权码。 (错) 4、二进制计数中各位的基是2,不同数位的权是2的幂。 (对)

数字电子技术试题及答案05

试卷五 一、填空题(20分) 1.数字信号只有 和 两种取值。 2.十进制123的二进制数是 ;八进制数是 ;十六进制数是 。 3.设同或门的输入信号为A 和B ,输出函数为F 。 若令B=0,则F= 若令B=1,则F= 4.三态门的输出有 、 、 三种状态。 5.设JK 触发器的起始状态Q=1 若令J=1,K=0,则=+1n Q 。 若令J=1,K=1,则=-1n Q 。 6.BCD 七段翻译码器输入的是 位 码,输出有 个。 7.一个N 进制计数器也可以称为 分频器。 8.有一个6位D/A 转换器,设满度输出为6.3V ,输入数字量为110111,则输出模拟电压为 。 9.设ROM 容量为256字×8位,则它应设置地址线 条,输出线 条。 10.用256字×4位RAM ,扩展容量为1024字×8位RAM ,则需要 片。 二 、选择题(20分) 1. 离散的,不连续的信号,称为( ) A 、模拟信号 B 、数字信号 2. 组合逻辑电路通常由( )组合而成。 A 、门电路 B 、触发器 C 、计数器 3. 十六路数据选择器的地址输入(选择控制)端有( )个 A 、16 B 、2 C 、4 D 、8 4. 一位8421BCD 码译码器的数据输入线与译码输出线的组合是( ) A 、4:6 B 、1:10 C 、4:10 D 、2:4 5. 能实现脉冲延时的电路是( ) A 、多谐振荡器 B 、单稳态触发器 C 、施密特触发器 6.8线—3线优先编码器的输入为70I I - ,当优先级别最高的7I 有效时,其 输出012Y Y Y 的值是( ) A 、111 B 、010 C 、000 D 、101 7.JK 触发器在CP 作用下,若状态必须发生翻转,则应使( ) A 、J=K=0 B 、J=K=1 C 、J=O ,K=1 8.有一个左移位寄存器,当预先置入1011后,其串行固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( ) A 、1011—0110—1100—1000—0000 B 、1011—0101—0010—0001—0000 9.有一位二进制数码需要暂时存放起来,应选用( )

数字电子技术习题库及参考答案

数字电子技术习题库 一、填空题(每空1分,共20分) 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数( ),作为8421BCD 码时,它相当于十进制数( )。 2.三态门电路的输出有高电平、低电平和( )3种状态。 3.TTL 与非门多余的输入端应接( )。 4.TTL 集成JK 触发器正常工作时,其和端应接( )电平。 5. 已知某函数,该函数的反函数 ( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( )位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为( )V ,其输出高电平为( )V ,输出低电平为( )V , CMOS 电路的电源电压为( ) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0 110时,输出 应为( ) 。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( )根地址线,有( )根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( )位。 11. 下图所示电路中, Y 1=( );Y 3 =( )。 12. 某计数器的输出波形如图1所示,该计数器是( )进制计数器。 13.驱动共阳极七段数码管的译码器的输出电平为( )有效。 d R d S ??? ??+??? ??++=D C AB D C A B F F 01234567Y Y Y Y Y Y Y Y A B Y 1 Y 2 Y 3

二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。) 1. 函数F(A,B,C) AB+BC+AC 的最小项表达式为( ) 。 A .F(A,B,C) ∑m (0,2,4) B. (A,B,C) ∑m (3,5,6,7) C .F(A,B,C) ∑m (0,2,3,4) D. F(A,B,C) ∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出的值是( )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( )个。 A .16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( )。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E 1 1, E 2A E 2B 0)时,地址码A 2A 1A 0 011,则输出 Y 7 ~Y 0是( ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( )种。 A .15 B .8 C .7 D .1 7. 随机存取存储器具有( )功能。 A.读/写 B.无读/写 C.只读 D.只写 8.N 个触发器可以构成最大计数长度(进制数)为的计数器。 A.N B.2N C.N 2 D.2N 9.某计数器的状态转换图如下, 其计数的容量为( ) A . 八 B. 五 C. 四 D. 三 10.已知某触发的特性表如下(A 、B 为触发器的输入)其输出信号的逻辑表达式为( )。 A B Q n+1 说明 0 0 Q n 保持 0 1 0 置0 1 0 1 置1 1 1 Q n 翻转 012Y Y Y ??000 001 010 011 100 101 110 111

数字电子技术试题(含答案)

系:_____________ 专业:_______________ 班级:_________ 准考证号: 姓名:_____________ 期 末 考 试 试 题 (卷) 密 封 线 密 封 线 以 内 不 准 作 任 何 标 记 密 封 线

8、要使JK 触发器处于计数状态,则必须使( ) A.J=K=1 B.J=K=0 C.J=0,K=1 D.J=1,K=0 9、下列触发器中没有计数功能的是( ) A.RS 触发器 B.T 触发器 C.JK 触发器 D.T ˊ触发器 10、组合电路中的冒险,偏“0”冒险Y = ( ) 二、填空题:(请在答题纸答题)(每空2分,共30分) 1、逻辑函数的表示方法有___________、___________、___________、___________、___________五种形式。 2、组合电路中的冒险,偏“1”冒险Y = ___________,偏“0”冒险Y = ___________。 3、不仅考虑两个____________相加,而且还考虑来自__________相加的运算电路,称为全加器。 4、一个4选1的数据选择器,应具有_____个地址输入端______个数据输入端。 5、时序逻辑电路的输出不仅和_________有关,而且还与_____________有关。 6、计数器按CP 脉冲的输入方式可分为___________和___________。 三、化简题(请在答题纸答题)(每小题5分,共10分) 1、用公式证明等式,()()AB AB A B A B +=++ 2、用卡诺图化简函数为最简单的与或式(画图)。 (0,1,3,4,5,7,)Y m =∑ 四、根据已知条件,画出输出波形(请在答题纸答题)(每题10分,共20分) 1、已知逻辑门与输入波形,作出Y 的波形 Y A

数字电子技术试卷试题答案汇总

数字电子技术基础试卷试题答案汇总 一、 填空题(每空1分,共20分) 1、逻辑代数中3种基本运算是(与运算、或运算、非运算) 2、逻辑代数中三个基本运算规则 (代入规则、反演规则、对偶规则) 3、逻辑函数的化简有 公式法 , 卡诺图 两种方法。 4、A+B+C= A ’B ’C ’ 。 5、TTL 与非门的u I ≤U OFF 时,与非门 关闭 ,输出 高电平 ,u I ≥U ON 时,与非门 导通 ,输出 低电平 。 6、组合逻辑电路没有 记忆 功能。 7、竞争冒险的判断方法 代数方法 , 卡诺图法 。 8、触发器它2 稳态,主从RS 触发器的特性方程Q ’’=S+RQ ’ SR=0 , 主从JK 触发器的特性方Q ’’=JQ ’+K ’Q ,D 触发器的特性方程 Q ’’=D 。 二、 选择题(每题1分,共10分) 1、相同为“0”不同为“1”它的逻辑关系是 ( C ) A 、或逻辑 B 、与逻辑 C 、异或逻辑 2、Y (A ,B ,C ,)=∑m (0,1,2,3)逻辑函数的化简式 ( C ) A 、Y=AB+BC+ABC B 、Y=A+B C 、Y=A (A) 3、 A 、Y=A B B 、Y 处于悬浮状态 C 、Y=B A + 4、下列图中的逻辑关系正确的是 ( A ) A.Y=B A + B.Y=B A + C.Y=AB 5、下列说法正确的是 ( A ) A 、主从JK 触发器没有空翻现象 B 、JK 之间有约束 C 、主从JK 触发器的特性方程是CP 上升沿有效。 6、下列说法正确的是 ( C ) A 、同步触发器没有空翻现象 B 、同步触发器能用于组成计数器、移位寄存器。 C 、同步触发器不能用于组成计数器、移位寄存器。 7、下列说法是正确的是 ( A ) A 、异步计数器的计数脉冲只加到部分触发器上 B 、异步计数器的计数脉冲同时加到所有触发器上 C 、异步计数器不需要计数脉冲的控制 8、下列说法是正确的是 ( A ) A 、施密特触发器的回差电压ΔU=U T+-U T- B 、施密特触发器的回差电压越大,电路的抗干扰能力越弱 C 、施密特触发器的回差电压越小,电路的抗干扰能力越强

数字电子技术试卷及答案五套

数字电子技术试卷 一、选择题: A组: 1.如果采用偶校验方式,下列接收端收到的校验码中,( A )是不正确的 A、00100 B、10100 C、11011 D、11110 2、某一逻辑函数真值表确定后,下面描述该函数功能的方法中,具有唯一性的是(B)A、逻辑函数的最简与或式B、逻辑函数的最小项之和 C、逻辑函数的最简或与式 D、逻辑函数的最大项之和 3、在下列逻辑电路中,不是组合逻辑电路的是(D) A、译码器 B、编码器 C、全加器 D、寄存器 4、下列触发器中没有约束条件的是(D) A、基本RS触发器 B、主从RS触发器 C、同步RS触发器 D、边沿D触发器 5、555定时器不可以组成D。 A.多谐振荡器 B.单稳态触发器 C.施密特触发器 D.J K触发器 6、编码器(A)优先编码功能,因而(C)多个输入端同时为1。 A、有 B、无 C、允许 D、不允许 7、(D)触发器可以构成移位寄存器。 A、基本RS触发器 B、主从RS触发器 C、同步RS触发器 D、边沿D触发器 8、速度最快的A/D转换器是(A)电路 A、并行比较型 B、串行比较型 C、并-串行比较型 D、逐次比较型 9、某触发器的状态转换图如图所示,该触发器应是( C ) A. J-K触发器 B. R-S触发器 C. D触发器 D. T触发器 10.(电子专业作)对于VHDL以下几种说法 错误的是(A ) A VHDL程序中是区分大小写的。 B 一个完整的VHDL程序总是由库说明部分、实体和结构体等三部分构成 C VHDL程序中的实体部分是对元件和外部电路之间的接口进行的描述,可以看成是定义元件的引脚 D 结构体是描述元件内部的结构和逻辑功能 B组: 1、微型计算机和数字电子设备中最常采用的数制是--------------------------------( A ) A.二进制 B.八进制 C. 十进制 D.十六进制 2、十进制数6在8421BCD码中表示为-------------------------------------------------( B ) A.0101 B.0110 C. 0111 D. 1000

数字电子技术试题库

数 字 电 子 技 术 2011年7月23日星期六

1 1 : 对于JK触发器,输入J=0,K=1,CLK脉冲作用后,触发器的次态应为()。 (2分) A:0 B:1 C:Q' D:不确定 您选择的答案: 正确答案: A 知识点:JK触发器的特性为:J=1, K=1时,Q状态为翻转,即Q= Q’ -------------------------------------------------------------------- 2 : 已知Y=A+AB′+A′B,下列结果中正确的是() (2分) A:Y=A B:Y=B C:Y=A+B D:Y=A′+B′ 您选择的答案: 正确答案: C 知识点:利用公式A+AB′=A和A+A′B=A+B进行化简 -------------------------------------------------------------------- 3 : (1001111)2的等值十进制数是() (2分) A:97 B:15.14 C:83 D:79 您选择的答案: 正确答案: D 知识点:把二进制数转换为等值的十进制数,只需将二进制数按多项式展开,然后把所有各项的数值按十进制数相加。 -------------------------------------------------------------------- 4 : 图中为CMOS门电路,其输出为()状态 (2分) A:高电平 B:低电平 C:高阻态 D:不确定 您选择的答案: 正确答案: A 知识点:对于CMOS门电路,输入端接负载时,输入电平不变 -------------------------------------------------------------------- 5 : 四选一数据选择器的数据输出Y与数据输入Di和地址码Ai之间的逻辑表达式为Y=() (2分) A:A1′A0′D0+ A1′A0D1+ A1A0′D2+ A1A0D3 B:A1′A0′D0

相关主题
文本预览
相关文档 最新文档