当前位置:文档之家› 第3章组合逻辑电路1

第3章组合逻辑电路1

第3章组合逻辑电路1
第3章组合逻辑电路1

第 3章 组合逻辑电路

逻辑电路按照逻辑功能的不同可分为两大类:一类是组合逻辑电路(简称组合电路), 另一类是时序逻辑电路(简称时序电路)。所谓组合电路是指电路在任一时刻的输出状态只与同一时刻各输入状态的组合有关,而与前一时刻的输出状态无关。组合电路的示意图如图所示。组合逻辑电路的特点:

(1) 输出、输入之间没有反馈延迟通路。 (2) 电路中不含记忆元件。

图 组合电路示意图

组合逻辑电路的分析方法和设计方法 (1)3.1.1组合逻辑电路的分析方法

分析组合逻辑电路的目的是为了确定已知电路的逻辑功能,或者检查电路设计

是否合理。

组合逻辑电路的分析步骤如下:

(1) 根据已知的逻辑图, 从输入到输出逐级写出逻辑函数表达式。 (2) 利用公式法或卡诺图法化简逻辑函数表达式。

(3) 列真值表, 确定其逻辑功能。 例 1 分析如图所示组合逻辑电路的功能。 解(1)

(2)化简

(3) 例真值表:如表3·1所示 图 例 1 的逻辑电路

X 1X 2

X n

12

m

输入信号

输出信号

AC

BC AB Y ??=AC BC AB Y ++=

A B

B C

A C Y

表例1的真值表

由表可知,若输入两个或者两个以上的1(或0), 输出Y为1(或0), 此电路在实际应用中可作为多数表决电路使用。

例 2分析如图所示组合逻辑电路的功能。

解(1) 写出如下逻辑表达式: (2) 化简AB

Y=

1

AB

A

Y

A

Y?

=

?

=

1

2

B

AB

B

Y

Y?

=

?

=

1

3

B

AB

AB

A

Y

Y

Y?

?

=

=

3

2

B

AB

AB

A

Y?

?

=

)

(

)

(B

AB

AB

A+

?

+

=

AB

B

A+

=

B

A⊕

=

图 例2 的逻辑电路

(3) 确定逻辑功能: 从逻辑表达式可以看出, 电路具有“异或”功能

A B

Y

3.1.2 组合逻辑电路的设计方法(2)

组合逻辑电路设计的目的是根据功能要求设计最佳电路。

组合逻辑电路的设计步骤分为四步:

(1)根据设计要求, 确定输入、输出变量的个数, 并对它们进行逻辑赋值(即确定0和1代表的含义。)

(2)根据逻辑功能要求列出真值表、表达式。

(3)根据要求画出逻辑图。

例3有三个班学生上自习,大教室能容纳两个班学生,小教室能容纳一个班学生。设计两个教室是否开灯的逻辑控制电路,要求如下:

(1) 一个班学生上自习,开小教室的灯。

(2) 两个班上自习,开大教室的灯。

(3) 三个班上自习,两教室均开灯。

解(1)确定输入、输出变量的个数: 根据电路要求,设输入变量A、B、C分别表示三个班学生是否上自习, 1表示上自习, 0表示不上自习;输出变量Y、G分别表示大教室、小教室的灯是否亮, 1表示亮, 0表示灭。

(2)列真值表:

如表所示。

表例 3 的真值表

(3)化简利用卡诺图化简, 如图所示可得:

Y+

=

+

BC

AB

AC

A

B

G+

+

=

C

+

B

C

A

A

ABC

C

B

A+

B

C

=

(B

A

C)

(

)

=

B

C

A⊕

(4) 画逻辑图: 逻辑电路图如图(a)所示。

若要求用TTL与非门,实现该设计电路的设计步骤如下:首先, 将化简后的与或逻辑表达式转换为与非形式;然后再画出如图

36(b)所示的逻辑图;最后画出用与非门实现的组合逻辑电路。

图例 3 的卡诺图

Y

G

AB

BC

AC

Y+

+

=

AB

BC

AC?

?

=

ABC

C

B

A

C

B

A

C

B

A

G+

+

+

=

ABC

C

B

A

C

B

A

C

B

A

=

图 例3 的逻辑图(a) 直接实现; (b) 用与非门实现

A

B

Y

G

C

(a )(b )

编码器

3.2.1编码器

所谓编码就是将特定含义的输入信号(文字、 数字、符号)转换成二进制代码的过程。实现编码操作的数字电路称为编码器。按照编码方式不同,编码器可分为普通编码器和优先编码器; 按照输出代码种类的不同,可分为二进制编码器和非二进制编码器。

1. 二进制编码器

若输入信号的个数N 与输出变量的位数n 满足N=2n , 此电路称为二进制编码器。任何时刻只能对其中一个输入信息进行编码, 即输入的N 个信号是互相排斥的, 它属于普通编码器。若编码器输入为四个信号,输出为两位代码,则称为4线 -2线编码器(或4/2线编码器)

例 4设计一个4线 2线的编码器。 解:(1)确定输入、输出变量个数: 由题意知输入为I 0、I 1、I 2、I 3四个信息,输出为Y 0、Y 1,当对I i 编码时为1,不编码为0,并依此按I i 下角标的值与Y 0、Y 1二进制代码的值相对应进行编码。

(2)列编码表:如表所示。 (3) 化简

(4) 画编码器电路如图3.6所示。图 4线—2线编码器

表 编码表

3

10I

I Y +=3

21I I Y +=I 1I 3I 2

01

2. 非二进制编码器(以二-十进制编码器为例)

二——十进制编码器是指用四位二进制代码表示一位十进制数的编码电路, 也称10线4线编码器。

最常见是8421 BCD 码编码器,如图所示。其中, 输入信号I 0~I 9代表0~9共10个十进制信号,输出信号Y 0~Y 3为相应二进制代码。

由图可以写出各输出逻辑函数式为:

根据逻辑函数式列出功能表如表所示。 表 8421 BCD 码编码器功能表

8

93I I Y ?=4

5672I I I I Y ???=2

3671I I I I Y =1

35790I I I I I Y ????=

3. 优先编码器

优先编码器是当多个输入端同时有信号时,电路只对其中优先级别最高的信号进行编码。

例 5电话室有三种电话,按由高到低优先级排序依次是火警电话,急救电话,工作电话,要求电话编码依次为00、01、10。试设计电话编码控制电路。

解:(1)根据题意知,同一时间电话室只能处理一部电话,假如用A 、B 、C 分别代表火警、 急救、工作三种电话,设电话铃响用1表示,铃没响用0表示。当优先级别高的信号有效时,低级别的则不起作用,这时用×表示;用Y 1, Y 2表示输出编码。

(2)列真值表: 真值表如表3.5所示

表 例5的真值表

(3)写逻辑表达式

(4) 画优先编码器逻辑图如图所示图 例5的优先编码逻辑图

3.2.2 集成编码器

10线 4线集成优先编码器常见型号为54/74147、54/74LS147,8线 3线常见型号为54/74148、54/74LS148。

1. 优先编码器 74LS148

74LS148是8线 3线优先编码器, 如图所示。图中,I 0~I 7为输入信号端, 是使能输入端, ~ 是三个输出端, 和 是用于扩展功能的输出端。74LS148的功能如表所示。

C

B A Y =1B

A Y =2&

&

11

A B

1

C 2

图 74LS148优先编码器 (a ) 符号图; (b) 管脚图

表 优先编码器74LS148的功能表

在表中,输入I 0~I 7低电平有效,I 7为最高优先级,I 0为最低优先级。即只要 =0,不管其他输入端是0还是1,输出只对I 7编码,且对应的输出为反码有效, =000。 为使能输入端,只有 =0时编码器工作, =1时编码器不工作。 为使能输出端。当 =0允许工作时,如果 ~ 端有信号输入, =1;若 ~端无信号输入时, =0。 为扩展输出端,当 =0时,只要有编码信号, 就是低电平。

2. 优先编码器74LS148的扩展

用74LS148优先编码器可以多级连接进行扩展功能, 如用两块74LS148可以扩展成为一个16线4线优先编码器, 如图所示。

I 0I 1I 2I 3I 4I 5I 6I 7S

Y 1Y 2

Y EX

Y s

Y 97612345

74LS148

012345678

74LS148161514

13

1211109I 4I 5I 6I 7S (E )Y 2Y 1GND

V CC Y S Y EX I 3I 2I 1I 0Y 0

(a )

(b )

Y Y Y Y

图 16线4线优先编码器

根据图进行分析可以看出, 高位片S1=0允许对输入I8~I15编码,Y S1=1,S2=1,则高位片编码,低位片禁止编码。但若I8~I15都是高电平,即均无编码请求,则Y S1=0允许低位片对输入I0~I7编码。显然,高位片的编码级别优先于低位片。3.优先编码器74LS148的应用。

74LS148编码器的应用是非常广泛的。例如,常用计算机键盘,其内部就是一个字符编码器。它将键盘上的大、小写英文字母和数字及符号还包括一些功能键(回车、空格)等编成一系列的七位二进制数码,送到计算机的中央处理单元CPU,然后再进行处理、存储、输出到显示器或打印机上。还可以用74LS148编码器监控炉罐的温度,若其中任何一个炉温超过标准温度或低于标准温度,则检测传感器输出一个0电平到74LS148编码器的输入端,编码器编码后输出三位二进制代码到微处理器进行控制。

译码器

3.3.1概述

译码是编码的逆过程,即将每一组输入二进制代码“翻译”成为一个特定的输出信号。实现译码功能的数字电路称为译码器。译码器分为变量译码器和显示译码器。

变量译码器有二进制译码器和非二进制译码器。

显示译码器按显示材料分为荧光、发光二极管译码器、液晶显示译码器;按显示内容分为文字、数字、符号译码器。

3.3.2集成译码器

1.二进制译码器(变量译码器)

图 74LS138符号图和管脚图

(a) 符号图; (b) 管脚图表

变量译码器种类很多。常用的有:TTL 系列中的54/74HC138、 54/74LS138;CMOS 系列中的54/74HC138、54/74HCT138等。图所示为74LS138的符号图、管脚图, 其逻辑功能表如表所示。 74LS138译码器功能表

97

123456

A 0CC Y 0Y 1Y 2Y 3Y 4Y 5Y 6

A 1A 2E 2A E 2

B E 1Y 7(a )

(b )

由功能表可知,它能译出三个输入变量的全部状态。该译码器设置了E 1,E 2A ,E 2B 三个使能输入端, 当E 1为1且E 2A 和E 2B 均为0时, 译码器处于工作状态,否则译码器不工作。 2. 非二进制译码器

非二进制译码器种类很多, 其中二 -十进制译码器应用较广泛。二 -十进制译码器常用型号有: TTL 系列的54/7442、54/74LS42和CMOS 系列中的54/74HC42、54/74HCT42等。

图所示为74LS42的符号图和管脚图。该译码器有A 0~A 3四个输入端, Y 0~Y 9共10个输出端, 简称4线-10线译码器。74LS42的逻辑功能表如表所示。

图 74LS42

二 十进制译码器

(a) 符号图; (b ) 管脚图

表 74LS42二--十进制译码器功能表

由表知,Y 0输出为Y 0= 当 A 3A 2A 1A0=0000时, 输出Y 0=0。它对应的十进制数为0。其余输出依次类推。

3. 显示译码器

显示译码器常见的是数字显示电路, 它通常由译码器、驱动器和显示器等部分组成。

A 074LS42Y 0

A 1A 2Y 1Y 2Y 3Y

4

Y 5Y 6Y 7123456781234567816151413

121110974LS42

0GN D CC Y 0Y 1Y 2Y 3Y 4Y 5Y 612Y 7

(a )

(b )

Y 8Y 99A 3

3Y 9Y 8

1) 显示器件

数码显示器按显示方式有分段式、 字形重叠式、 点阵式。 其中,七段显示器应用最普遍。图(a)所示的半导体发光二极管显示器是数字电路中使用最多的显示器,它有共

阳极和共阴极两种接法。共阳极接法(图(c))是各发光二极管阳极相接,对应极接低电平时亮。图(b)所示为发光二极管的共阴极接法,共阴极接法是各发光二极管的阴极相接,

对应极接高电平时亮。

图 半导体显示器

(a ) 管脚排列图; (b) 共阴极接线图; (c) 共阳级接线图

图 七段数字显示器发光段组合图2)集成电路74LS48

如图为显示译码器74LS48的管脚排列图,表所示为74LS48的逻辑功能表,它有三

个辅助控制端 、 、 。

图 74LS48的管脚排列图

f g

h

9876

2345

d

c (·)h

a

b c d e f g (·)h

a b c d e f g (·)h

·R

R

a d

e

f b c

a d

e

g

a d

g

f

g

a d f

g

a d e

f g

a

a b c

d e

f g

a d f

g

A 074LS48Y a A 1A 2

LT I B / Y BR 712345

12345678

16151413

121110974LS48

GN D

CC f g a b c d e

A 2LT (a )

(b )

A 3I BR

6A 1I B / Y BR

I BR A 3A 0Y b Y c Y d Y e Y f Y g

表 74LS48显示译码器的功能表

为试灯输入:当 =0时, =1时,若七段均完好,显示字形是“8”,该输入端常用于检查74LS48显示器的好坏; 当 =1时,译码器方可进行译码显示。用来动态灭零,当 = 1时,且 =0,输入A3A2A1A0=0000时,则 =0使数字符的各段熄灭;为灭灯输入/灭灯输出,当 =0时不管输入如何, 数码管不显示数字; 为控制低位灭零信号,当 =1时, 说明本位处于显示状态; 若 =0, 且低位为零, 则低位零被熄灭。

3.3.3译码器的应用

变量的每个输出端都表示一个最小项,利用这个特点,可以实现逻辑函数。 例 6 用一个3线-8线译码器实现函数

解 如表3·8所示,当E 1接+5V,E 2A 和E 2B 接地时。得到对应个输入端的输出Y : 若将输入变量A 、B 、C 分别代替A 2、A 1、A 0,则可到函数

可见,用3线-8线译码器再加上一个与非门就可实现函数Y ,其逻辑图如图3——17所

示.

0120A A A Y =0

121A A A Y =0122A A A Y =0

123A A A Y =0124A A A Y =0

125A A A Y =0

126A A A Y =0

127A A A Y =C

B A

C B A C B A Y ++=C

B A

C B A C B A ??=2

40Y Y Y ??=

第六章 组合逻辑电路要点

第六章组合逻辑电路 一、概述 1、组合逻辑电路的概念 数字电路根据逻辑功能特点的不同分为: 组合逻辑电路:指任何时刻的输出仅取决于该时刻输入信号的组合,而与电路原有的状态无关的电路。 时序逻辑电路:指任何时刻的输出不仅取决于该时刻输入信号的组合,而且与电路原有的状态有关的电路。 2、组合逻辑电路的特点 逻辑功能特点:没有存储和记忆作用。 组成特点:由门电路构成,不含记忆单元,只存在从输入到输出的通路,没有反馈回路。 3、组合逻辑电路的描述 4、组合逻辑电路的分类 按逻辑功能分为:编码器、译码器、加法器、数据选择器等; 按照电路中不同基本元器件分为:COMS、TTL等类型; 按照集成度不同分为:SSI、MSI、LSI、VLSI等。 二、组合逻辑电路的分析与设计方法 1、分析方法 根据给定逻辑电路,找出输出输入间的逻辑关系,从而确定电路的逻辑功能,其基本步骤为: a、根据给定逻辑图写出输出逻辑式,并进行必要的化简; b、列出函数的真值表; c、分析逻辑功能。 2、设计方法 设计思路:分析给定逻辑要求,设计出能实现该功能的组合逻辑电路。 基本步骤:分析设计要求并列出真值表→求最简输出逻辑式→画逻辑图。 首先分析给定问题,弄清楚输入变量和输出变量是哪些,并规定它们的符号与逻辑取值(即规定它们何时取值0 ,何时取值1) 。然后分析输出变量和输入变量间的逻辑关系,列出真值表。根据真值表用代数法或卡诺图法求最简与或式,然后根据题中对门电路类型的要求,将最简与或式变换为与门类型对应的最简式。

三、若干常用的组合逻辑电路 (一)、编码器 把二进制码按一定规律编排,使每组代码具有特定的含义,称为编码。具有编码功能的逻辑电路称为编码器。 n 位二进制代码有n 2种组合,可以表示n 2个信息;要表示N 个信息所需的二进制代码应满足n 2≥ N 。 1、普通编码器 (1)、二进制编码器 将输入信号编成二进制代码的电路。下面以3位二进制编码器为例分析普通编码器的工作原理。 3位二进制编码器的输入为70~I I 共8个输入信号,输出是3位二进制代码012Y Y Y ,因此该电路又称8线-3线编码器。它有以下几个特征: a 、将70~I I 8个输入信号编成二进制代码。 b 、编码器每次只能对一个信号进行编码,不允许两个或两个以上的信号同时有效。 c 、设输入信号高电平有效。 由此可得3位二进制编码器的真值表如右图所示,那么由真值表可知: 765476542I I I I I I I I Y =+++= 763276321I I I I I I I I Y =+++= 753175310I I I I I I I I Y =+++= 进而得到其逻辑电路图如下:

第三章组合逻辑电路

第三章 组合逻辑电路 一、选择题 1.下列表达式中不存在竞争冒险的有 。 A.Y =B +A B B.Y =A B +B C C.Y =A B C +A B D.Y =(A +B )A D 2.若在编码器中有50个编码对象,则要求输出二进制代码位数为 位。 A.5 B.6 C.10 D.50 3.一个16选一的数据选择器,其地址输入(选择控制输入)端有 个。 A.1 B.2 C.4 D.16 4.下列各函数等式中无冒险现象的函数式有 。 A.B A AC C B F ++= B.B A BC C A F ++= C.B A B A BC C A F +++= D.C A B A BC B A AC C B F +++++= E.B A B A AC C B F +++= 5.函数C B AB C A F ++=,当变量的取值为 时,将出现冒险现象。 A.B =C =1 B.B =C =0 C.A =1,C =0 D.A =0,B =0 6.四选一数据选择器的数据输出Y 与数据输入X i 和地址码A i 之间的 逻辑表达式为Y = 。 A.3X A A X A A X A A X A A 01201101001+++ B.001X A A C.101X A A D.3X A A 01 7.一个8选一数据选择器的数据输入端有 个。 A.1 B.2 C.3 D.4 E.8 8.在下列逻辑电路中,不是组合逻辑电路的有 。 A.译码器 B.编码器 C.全加器 D.寄存器 9.八路数据分配器,其地址输入端有 个。 A.1 B.2 C.3 D.4 E.8 10.组合逻辑电路消除竞争冒险的方法有 。 A. 修改逻辑设计 B.在输出端接入滤波电容 C.后级加缓冲电路 D.屏蔽输入信号的尖峰干扰 11.101键盘的编码器输出 位二进制代码。 A.2 B.6 C.7 D.8 12.用三线-八线译码器74L S 138实现原码输出的8路数据分配器,应 。 A.A ST =1,B ST =D ,C ST =0 B. A ST =1,B ST =D ,C ST =D

第4章 组合逻辑电路 课后答案

第4章 [题4.1].分析图P4.1电路的逻辑功能,写出输出的逻辑函数式,列出真值表,说明电路逻辑功能的特点。 图P4.1 B Y AP 56 P P = 图P4.2 解:(1)逻辑表达式 ()()() 5623442344 232323232323 Y P P P P P CP P P P CP P P C CP P P P C C P P P P C P PC ===+=+=++=+ 2311P P BP AP BABAAB AB AB ===+ ()()()2323Y P P C P P C AB AB C AB ABC AB AB C AB AB C ABC ABC ABC ABC =+=+++=+++=+++ (2)真值表 (3)功能 从真值表看出,这是一个三变量的奇偶检测电路,当输入变量中有偶数个1和全为0时,Y =1,否则Y=0。 [题4.3] 分析图P4.3电路的逻辑功能,写出Y 1、、Y 2的逻辑函数式,列出真值表,指出电路完成什么逻辑功能。

图P4.3 B 1 Y 2 [解] 解: 2Y AB BC AC =++ 12 Y ABC A B C Y ABC A B C AB BC AC ABC ABC ABC ABC =+++=+++++=+++()()) B 、 C 为加数、被加数和低位的进位,Y 1为“和”,Y 2为“进位”。 [题4.4] 图P4.4是对十进制数9求补的集成电路CC14561的逻辑图,写出当COMP=1、Z=0、和COMP=0、Z=0时,Y 1~Y 4的逻辑式,列出真值表。

图P4.4 [解] (1)COMP=1、Z=0时,TG 1、TG 3、TG 5导通,TG 2、TG 4、TG 6关断。 3232211 , ,A A Y A Y A Y ⊕===, 4324A A A Y ++= (2)COMP=0、Z=0时, Y 1=A 1, Y 2=A 2, Y 3=A 3, Y 4=A 4。 COMP =0、Z=0的真值表从略。 [题4.5] 用与非门设计四变量的多数表决电路。当输入变量A 、B 、C 、D 有3个或3个以上为1时输出为1,输入为其他状态时输出为0。 [解] 题4.5的真值表如表A4.5所示,逻辑图如图A4.5(b)所示。

第10章 组合逻辑电路

第10章组合逻辑电路 一、基本要求 1.掌握组合电路的特点及其分析方法和设计方法; 2.理解几种常用的组合逻辑电路及其中规模器件的功能并掌握使用方法; 3.了解组合逻辑电路中的竟争——冒险现象。 二、阅读指导 1、组合逻辑电路的特点 组合逻辑电路在逻辑功能上的特点是电路任意时刻的输出状态,只取决于该时刻的输入状态,而与该时刻之前的电路输入状态和输出状态无关。 组合逻辑电路在结构上的特点是不含有具有存储功能的电路。可以由逻辑门或者由集成组合逻辑单元电路组成,从输出到各级门的输入无任何反馈线。 组合逻辑电路的输出信号是输入信号的逻辑函数。这样,逻辑函数的四种表示方法,都可以用来表示组合逻辑电路的功能。 2、组合逻辑电路的分析 组合逻辑电路的分析就是根据给定的逻辑电路,通过分析找出电路的逻辑功能,或是检验所设计的电路是否能实现预定的逻辑功能,并对功能进行描述。其一般步骤为:(1)根据逻辑图写出输出逻辑函数表达式 由输入端逐级向后推(或从输出向前推到输入),写出每个门的输出逻辑函数表达式,最后写出组合电路的输出与输入之间的逻辑表达式。有时需要对函数式进行适当的变换,以使逻辑关系简单明了。 (2)列出真值表 列出输入逻辑变量全部取值组合,求出对应的输出取值,列出真值表。 (3)说明电路的逻辑功能 根据逻辑表达式或真值表确定电路的逻辑功能,并对功能进行描述。 3、组合逻辑电路的设计 根据给定的逻辑功能要求,设计出能实现这一功能要求的最简组合逻辑电路,就是设计组合逻辑电路的任务。 在设计组合逻辑电路时,电路的最简是我们追求的目标之一。电路的“最简”含意是指所用器件数最少、器件的品种最少、器件间的连线也最少。 组合逻辑电路设计的一般步骤如下: (1)进行逻辑规定 根据设计要求设计逻辑电路时,首先应分析事件的因果关系,确定输入与输出逻辑变量,并规定变量何时取1何时取0,即所谓逻辑状态赋值。 (2)列真值表并写出逻辑函数式 根据输入、输出之间的因果关系,列出真值表。至此,便将一个具有因果关系的事件表示为逻辑函数,并且是以真值表的形式给出。 真值表中输出为1时所对应的各最小项之和就是输出逻辑函数式。 (3) 对输出逻辑函数式化简

数字电路第二章答案

第二章 组合逻辑电路 习题参考答案 2-1 写出图2-29所示各逻辑电路输出的逻辑表达式,列出真值表。 解:(a) BC AB Z +=1 (b) D C B A D C B A Z =+?+=2 真值表: (3) E D C B A E D C B A Z +++++++=)(3 E D C B A E D C B A +++?+++= ))((E D C B A E D C B A ++++++++=

+ + B C D ? + ] = + + E A+ ] ) A ( ) ( [ [E B C D A+ B A + + C = + + A (E )( D D ) B E B C BE C A+ A + D = + + B E D E E B C A E 真值表: 2-2分析图2-30所示的各逻辑电路,写出输出的逻辑表达式,列出真值表。

解:(a) )()(AC C B A C B A Z ?+?⊕+⊕= C B A C A B A C B A ⊕++=)( C B A C A B A C B A C B A C A B A C B A +++++=)( C B A A C B A C B A C A B A C B A +=+=+++= 真值表: (b) C B A ABC C B A C B A C B C B A C B A X +++=+⊕=⊕⊕=)()( C A BC B A Y ++= 2-3分析图2-31所示的逻辑电路,画出电路输出的波形图。 解:由逻辑图可以得到其输出表达式 C A D D BC B AD C AD D BC B AD Z +++==)( C AD D C B B D A +++++=)()( C AD D C D B D B B A +++++= C AD D B D B B A ++++=

第4章 组合逻辑电路习题解答

习题 4.1写出图所示电路的逻辑表达式,并说明电路实现哪种逻辑门的功能。(基本题属于4.1节) 习题4.1图 解:B A B A B A B A B A F ⊕=+=+= 该电路实现异或门的功能 4.2分析图所示电路,写出输出函数F 。(基本题属于4.1节) 习题4.2图 解:[]B A B B B A F ⊕=⊕⊕⊕=)( 4.3已知图示电路及输入A 、B 的波形,试画出相应的输出波形F ,不计门的延迟.(基本 题属于4.1节) 图 解:B A B A B A AB B AB A AB B AB A F ⊕=?=???=???= 4.4由与非门构成的某表决电路如图所示。其中A 、B 、C 、D 表示4个人,L=1时表示决议通过。(基本题属于4.1节) (1) 试分析电路,说明决议通过的情况有几种。 (2) 分析A 、B 、C 、D 四个人中,谁的权利最大。 B A C & & & & D L B A =1 =1 =1 F F A B & & & & & F B A

习题4.4图 解:(1)ABD BC CD ABD BC CD L ++=??= (2) (3)4.5分析图所示逻辑电路,已知S 1﹑S 0为功能控制输入,A ﹑B 为输入信号,L 为输出,求电路所具有的功能。(基本题属于4.1节) 习题4.5图 解:(1)011011)(S S B S A S S B S A L ⊕⊕+⊕=⊕⊕?⊕= (2) (3)当S 1S 0=00和S 1S 0=11时,该电路实现两输入或门,当S 1S 0=01时,该电路实现两输入或非门,当S 1S 0=10时,该电路实现两输入与非门。 4.6试分析图所示电路的逻辑功能,并用最少的与非门实现。(综合题属于4.1、4.2节) 10

第3章--组合逻辑电路习题解答

复习思考题 3-1 组合逻辑电路的特点? 从电路结构上看,组合电路只由逻辑门组成,不包含记忆元件,输出和输入之间无反馈。任意时刻的输出仅仅取决于该时刻的输入,而与电路原来的状态无关,即无记忆功能。 3-2 什么是半加?什么是全加?区别是什么? 若不考虑有来自低位的进位将两个1位二进制数相加,称为半加。两个同位的加数和来自低位的进位三者相加,称为全加。半加是两个1位二进制数相加,全加是三个1位二进制数相加。 3-3 编码器与译码器的工作特点? 编码器的工作特点:将输入的信号编成一个对应的二进制代码,某一时刻只能给一个信号编码。译码器的工作特点:是编码器的逆操作,将每个输入的二进制代码译成对应的输出电平。 3-4 用中规模组合电路实现组合逻辑函数是应注意什么问题? 中规模组合电路的输入与输出信号之间的关系已经被固化在芯片中,不能更改,因此用中规模组合电路实现组合逻辑函数时要对所用的中规模组合电路的产品功能十分熟悉,才能合理地使用。 3-5 什么是竞争-冒险?产生竞争-冒险的原因是什么?如何消除竞争-冒险? 在组合逻辑电路中,当输入信号改变状态时,输出端可能出现虚假信号----过渡干扰脉冲的现象,叫做竞争冒险。门电路的输入只要有两个信号同时向相反方向变化,这两个信号经过的路径不同,到达输入端的时间有差异,其输出端就可能出现干扰脉冲。消除竞争-冒险的方法有:接入滤波电容、引入选通脉冲、修改逻辑设计。 习 题 3-1试分析图3.55所示各组合逻辑电路的逻辑功能。 解: (a)图 (1) 由逻辑图逐级写出表达式:)()(D C B A Y ⊕⊕⊕= (2) 化简与变换:

令 D C Y B A Y ⊕=⊕=21 则 21Y Y Y ⊕= (3)由表达式列出真值表,见表3.1。 输入 中间变量 中间变量 输出 A B C D Y 1 Y 2 Y 0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1 1 0 0 0 0 1 1 1 1 1 1 1 1 0 0 0 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 1 0 0 1 1 0 0 1 0 1 1 0 (4)分析逻辑功能:由真值表可知,该电路所能完成的逻辑功能是:判断四个输入端输入1的情况,当输入奇数个1时,输出为1,否则输出为0。 (b)图 (1) 由逻辑图逐级写出表达式: B A B A Y ⊕⊕⊕=(2) 化简与变换:Y=1 由此可见,无论输入是什么状态,输出均为1 3-2 试分析图3.56所示各组合逻辑电路的逻辑功能,写出函数表达式。

(整理)《数字逻辑电路》试题2.

一、选择题(每小题1.5分) 第一章: 1. 带符号位二进制数10011010的反码是( )。 A. 11100101 B. 10011010 C. 10011011 D. 11100110 2. 十进制数5对应的余3码是( )。 A. 0101 B. 1000 C. 1010 D. 1100 3. 二进制代码1011对应的格雷码是( )。 A. 1011 B. 1010 C. 1110 D. 0001 第二章: 1. 下列公式中哪一个是错误的? ( ) A. A A 0=+ B. A A A =+ C. B A )B A ('+'='+ D. )C A )(B A (BC A ++=+ 2. 下列各式中哪个是三变量A 、B 、C 的最小项? ( ) A. B A '' B. C B A +'+' C.ABC D. C B '+' 3. 下列函数中不等于A 的是( )。 A. A +1 B. A +A C. A +AB D. A (A +B ) 4. 在逻辑代数的加法运算中,1+1=( )。 A. 2 B. 1 C. 10 D. 0 5. A ⊕1=( )。 A. A B. 1 C. A ' D. 0 6. 含有A 、B 、C 、D 四个逻辑变量的函数Y=A+B+D 中所含最小项的个数是( )。 A. 3 B. 8 C. 14 D. 16 7. 下列函数中等于AB 的是( )。 A. (A +1)B B. (A +B )B C. A +AB D. A (AB ) 8. 为了将600份文件顺序编码,如果采用二进制代码,最少需要用( )位。 A. 3 B. 10 C. 1024 D. 600 9. 为了将600个运动员顺序编码,如果采用八进制代码,最少需要用( )位。 A. 3 B. 4 C. 10 D. 75 第三章:

第4章组合逻辑电路教案

第4章组合逻辑电路 一、教学目的: 本章主要介绍组合逻辑电路的特点、组合逻辑电路的分析方法和设计方法,以及加法器、编码器、译码器、数据选择器、数据比较器、奇偶校验器等常用组合逻辑电路的电路结构、工作原理和使用方法,最后介绍组合逻辑电路中的竞争-冒险。 二、教学题要 4.1 概述 尽管各种组合逻辑电路在功能上千差万别,但是它们的分析方法和设计方法有共同之处。掌握了分析方法,就可以识别任何一个给定的组合逻辑电路的逻辑功能;掌握了设计方法,就可以根据给定的设计要求设计出相应的组合逻辑电路。 4.1.1 组合逻辑电路的结构和特点 4.1.2 组合逻辑电路的分析方法 4.1.3 组合逻辑电路的设计方法 4.2 若干常用的组合逻辑电路 在数字系统设计中,有些逻辑电路是经常或大量使用的,为了使用方便,一般把这些逻辑电路制成中、小规模集成电路产品。在组合逻辑电路中,常用的集成电路产品有加法器、编码器、译码器、数据选择器、数据比较器及奇偶校验器等。下面分别介绍这些组合逻辑部件的电路结构、工作原理和使用方法。为了增加使用的灵活性,在多数中规模集成的组合逻辑电路上,都设置了附加的控制端。控制端既可以控制电路的工作状态(工作或禁止),又可作为输出信号的选通信号,还可以实现器件的扩展。合理地运用这些控制端,不仅能使器件完成自身的逻辑功能,还可以用这些器件实现其他组合逻辑电路,最大限度发挥电路的潜力。 4.2.1 算术运算电路 4.2.2 编码器 4.2.3 译码器 4.2.4 数据选择器 4.2.5数值比较器 4.2.6奇偶校验器 4.3 采用中规模集成部件实现组合逻辑电路 由于中规模集成电路的大量出现,许多逻辑问题可以直接选用相应的集成器件来实现,这样既省去繁琐的设计,又可以避免设计中带来的错误。中规模集成部件都具有与其名称相吻合的专用功能,但对于某些中规模集成电路来说,除了能完成自身的功能外,还可以用来实现组合逻辑电路。下面以译码器和数据选择器为例,介绍用中规模集成电路实现组合逻辑电路的方法。 4.3.1 用译码器实现组合逻辑电路 4.3.2 用数据选择器实现组合逻辑电路 4.4 组合逻辑电路的竞争—冒险现象 为了增加组合逻辑电路使用的可靠性,需要检查电路中是否存在竞争—冒险。如果发现有竞争—冒险存在,则应采取措施加以消除。 4.4.1 竞争—冒险现象及其成因

第三章 组合逻辑电路.

第三章 组合逻辑电路 授课题目: 3.1小规模组合逻辑电路的分析 教学目标: 1、熟练各种门电路的逻辑功能及描述方法。 2、掌握组合逻辑电路的分析方法。 3、小规模组合逻辑电路的设计 教学内容(包括重点、难点): 教学重点:组合逻辑分析步骤,小规模组合逻辑电路设计方法。 教学难点:分析和设计的步骤、思路和注意事项。 教学过程设计 ● 复习并导入新课 问题:1、逻辑电路有哪些表示方法? 2、如何由真值表写出函数表达式? ● 就新课内容提出问题 1、总结如何由具体事件分析输入变量、输出变量和它们的关系? 2、总结如何由具体事件分析输入变量、输出变量和它们的关系? 3、真值表如何写出? ● 讲授新课 3.1 小规模组合逻辑电路的分析和设计 按照逻辑功能的不同特点,可以把数字电路分成两大类,一类叫做组合逻辑电路,另一类叫做时序逻辑电路。 组合逻辑电路的特点:即刻输入,即刻输出。 F A B C

一、组合逻辑电路的分析方法 分析步骤如下: 第一步:写出逻辑函数表达式; 第二步:逻辑表达式进行化简; 第三步:列真值表; 第四步:分析电路的逻辑功能。 注:以上步骤并非一定要遵循,应视具体情况而定,可略去其中的某些步骤。 举例1: 分析上图所示电路的逻辑功能。 解 第一步:写出逻辑表达式。 P=AB N=BC Q=AC F=Q N P ??=AC BC AB ??=AB+BC+AC 第二步:列出真值表。 第三步:逻辑功能描述。由真值表可见,在输入三个变量中,只要有两个以上变量为1,则输出1,所以该电路是一个三变量多数表决器。 二、组合逻辑电路的设计 (一)设计的一般步骤如下: 第一步:分析要求; 第二步:列真值表; 第三步:写出逻辑表达式并化简; 第四步:画逻辑图。 举例2:设计一个三变量多数表决电路,用与非门实现。 解:(1)分析命题; (2

数电第二章习题教学内容

第二章 一、选择题 1.下列表达式中不存在竞争冒险的有 C D 。 A.Y =B +A B B.Y =A B +B C C.Y =A B C +A B D.Y =(A +B )A D 2.若在编码器中有50个编码对象,则要求输出二进制代码位数为B 位。 A.5 B.6 C.10 D.50 3.一个16选一的数据选择器,其地址输入(选择控制输入)端有 C 个。 A.1 B.2 C.4 D.16 4.下列各函数等式中无冒险现象的函数式有 D 。 A.B A AC C B F ++= B.B A BC C A F ++= C.B A B A BC C A F +++= D.C A B A BC B A AC C B F +++++= E.B A B A AC C B F +++= 5.函数C B AB C A F ++=,当变量的取值为 A C D 时,将出现冒险现象。 A.B =C =1 B.B =C =0 C.A =1,C =0 D.A =0,B =0 6.四选一数据选择器的数据输出Y 与数据输入X i 和地址码A i 之间的逻 辑表达式为Y = A 。 A.3X A A X A A X A A X A A 01201101001+++ B.001X A A C.101X A A D.3X A A 01 7.一个8选一数据选择器的数据输入端有 E 个。 A.1 B.2 C.3 D.4 E.8 8.在下列逻辑电路中,不是组合逻辑电路的有 D 。 A.译码器 B.编码器 C.全加器 D.寄存器 9.八路数据分配器,其地址输入端有 C 个。 A.1 B.2 C.3 D.4 E.8 10.组合逻辑电路消除竞争冒险的方法有 A B 。 A. 修改逻辑设计 B.在输出端接入滤波电容 C.后级加缓冲电路 D.屏蔽输入信号的尖峰干扰 11.101键盘的编码器输出 C 位二进制代码。 A.2 B.6 C.7 D.8 12.用三线-八线译码器74L S 138实现原码输出的8路数据分配器,应 A B C 。 A.A ST =1,B ST =D ,C ST =0 B. A ST =1,B ST =D ,C ST =D C.A ST =1,B ST =0,C ST =D D. A ST =D ,B ST =0,C ST =0 13.以下电路中,加以适当辅助门电路, A B 适于实现单输出组合逻辑电路。

第三章组合逻辑电路

第三章 组合逻辑电路 本章教学目的、要求: 1.掌握组合逻辑电路的分析方法和设计方法。 2.熟悉常用中规模集成组合逻辑电路的工作原理。 3.了解组合电路中的竞争和冒险现象。 重点:组合逻辑电路的分析方法和设计方法。 难点:组合逻辑电路分析中的功能判断 第一节 概述 一、组合逻辑电路的特点 数字电路按逻辑功可分为两大类 1.组合逻辑电路:任意时刻 的输出只取决于该时刻的输 入,与电路原来的状态无关。 2.时序逻辑电路:任意时刻 的输出不仅取决于该时刻的输 入,而且与电路原来的状态有关。 在组合逻辑电路中 例:右图所示电路: CI B A S ⊕⊕=)( AB CI B A CO +⊕=)( 二、逻辑功能的描述 逻辑图、函数式或真值表均能描述,这里用函数式说明: y 1=f 1(a 1,a 2,…a n ) 框图 y 2=f 2(a 1,a 2,…a n ) . . y m =f m (a 1,a 2,…a n ) 1.功能特点: 电路的输出状态不影响输入;电路的输入确定后,输出即确定。 2.结构特点: 电路不包含存储信号的记忆元件;电路不存在从输出到输入的反馈电路。 组合逻辑电路 a 1 y 1 y 2 y m a 2 a n · · · ·

=1 & ≥1 1 A i B i C i S i C i +1 (a ) 全加器 S i C i +1 A i B i C i (b ) =1 第二节、组合逻辑电路的分析方法和设计方法 一、组合逻辑电路的分析方法 所谓逻辑电路的分析,就是找出给定逻辑电路输出和输入之间的逻辑关系,并指出电路的逻辑功能。分析过程一般按下列步骤进行: 1.根据给定的逻辑电路,从输入端开始,逐级推导出输出端的逻辑函数表达式。 2.根据输出函数表达式列出真值表。 3.用文字概括出电路的逻辑功能。 例1:分析图4-2所示组合逻辑电路的逻辑功能。 解:根据给出的逻辑图, 逐级推导出输出端的逻辑函数表达式: 列真值表 由真值表可以看出,在三个输入变量中,只要有两个或两个以上的输入变量为1,则输出函数F 为1,否则为0,它表示了一种“少数服从多数”的逻辑关系。因此可以将该电路概括为:三变量多数表决器。 例2:分析下图所示电路,指出该电路的逻辑功能。 解: ① 写出函数表达式。 ② 列真值表。 ③ 分析功能。 A B C F 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 0 0 0 1 0 1 1 1 A i B i C i C i+1 S i & & & & P 2 P 1P 3 F A B C 图 4-2 AC BC AB AC BC AB P P P F AC P BC P AB P ++=??=??====321321,,i i i i i i i i i i B A C B A C C B A S +⊕=⊕⊕=+)(1

数字电子技术第4章组合逻辑电路习题解答

习题 写出图所示电路的逻辑表达式,并说明电路实现哪种逻辑门的功能。 习题图 解:B A B A B A B A B A F⊕ = + = + = 该电路实现异或门的功能 分析图所示电路,写出输出函数F。 习题图 解:[]B A B B B A F⊕ = ⊕ ⊕ ⊕ =) ( 已知图示电路及输入A、B的波形,试画出相应的输出波形F,不计门的延迟. 解:B A B A B A AB B AB A AB B AB A F⊕ = ? = ? ? ? = ? ? ? = 由与非门构成的某表决电路如图所示。其中A、B、C、D表示4个人,L=1时表示决议通过。 (1)试分析电路,说明决议通过的情况有几种。 (2)分析A、B、C、D四个人中,谁的权利最大。 习题图 解:(1)ABD BC CD ABD BC CD L+ + = ? ? = B A C& & & & D L B A= 1 == 1 F F A B F B A

(2) L 0 0010111 (3)分析图所示逻辑电路,已知S 1﹑S 0为功能控制输入,A ﹑B 为输入信号,L 为输出,求电路所具有的功能。 习题图 解:(1)011011)(S S B S A S S B S A L ⊕⊕+⊕=⊕⊕?⊕= (2) L

试分析图所示电路的逻辑功能。 习题图 解:(1)ABC C B A F )(++= (2) F 01111110 F

电路逻辑功能为:“判输入ABC 是否相同”电路。 已知某组合电路的输入A 、B 、C 和输出F 的波形如下图所示,试写出F 的最简与或表达式。 习题图 解:(1)根据波形图得到真值表: F 1 0010010 C AB BC A C B A F ++= 、设∑= )14,12,10,9,8,4,2(),,,(m D C B A F ,要求用最简单的方法,实现的电路最简单。 1)用与非门实现。 2)用或非门实现。 3) 用与或非门实现。 F C B A

第11章 组合逻辑电路

- 59 - 第11章 组合逻辑电路 从本章开始介绍数字集成电路。数字电路或逻辑电路,可以分为组合逻辑电路和时序逻辑电路两类。本章介绍组合逻辑电路,下章介绍时序逻辑电路。门电路是数字电路的基本部件,集成门电路是数字集成电路的一部分,本章首先介绍常用的集成门电路。 组合逻辑电路种类很多,由于应用广泛,中规模集成电路和大规模集成电路都有产品供应,在此将介绍几种常见的组合逻辑电路。 11.1 集成基本门电路 门电路又称逻辑门,是实现各种逻辑关系的基本电路,是组成数字电路的基本部件,由于他既能完成一定的逻辑运算功能,又能像“门”一样控制信号的通断,门打开时,信号可以通过;门闭合时,信号不能通过,因此称为门电路或门逻辑。集成门电路是数字集成电路的一部分,它的产品种类很多,内部电路各异,对一般读者来说,只需将其视为具有某一逻辑功能的器件,对于内部电路可不必深究。 按逻辑功能的不同,门电路可分为很多种,其中实现或、与、非三种逻辑关系的或门电路、与门电路和非门电路是最基本的门电路。 (一)或门电路 1.定义:在决定某一事件的各种条件中,只要有一个或一个以上的条件具备,事件就会发生,符合这一规律的逻辑关系称为或逻辑。 2.电路图及符号 如11-1a 所示电路。只要开关A 和B 中有一个或一个以上闭合,灯F 就会亮。这里开关的闭合和灯亮之间的关系为或逻辑关系。 实现或逻辑关系的电路称为或门。反映在逻辑电路中则是输入和输出电位的高与低两种状态,因此,习惯上把电位的高与低称为高电平和低电平。为便于逻辑运算,分别用0与1来表示。若规定高电平为1,低电平为1,这种逻辑关系称为正逻辑,反之称为负逻辑,本书一律采用正逻辑。或门的逻辑符号如图11-1a 电路所示。F 是输出端,A 和B 是输入端。输入端的数量可以不止两个,输入和输出都只有高电平1和低电平0两种状态。或门反映的逻辑关系是:只要输入中有一个或一个以上为高电平,输出便为高电平。 3.逻辑表达式 F=A+B 4.运算规律 ?? ? ?? =+=+=+A A A A A A 110 图11-1 或逻辑和或门 b) 或门 a) 或逻辑

第3章组合逻辑电路1

第 3章 组合逻辑电路 逻辑电路按照逻辑功能的不同可分为两大类:一类是组合逻辑电路(简称组合电路), 另一类是时序逻辑电路(简称时序电路)。所谓组合电路是指电路在任一时刻的输出状态只与同一时刻各输入状态的组合有关,而与前一时刻的输出状态无关。组合电路的示意图如图所示。组合逻辑电路的特点: (1) 输出、输入之间没有反馈延迟通路。 (2) 电路中不含记忆元件。 图 组合电路示意图 组合逻辑电路的分析方法和设计方法 (1)3.1.1组合逻辑电路的分析方法 分析组合逻辑电路的目的是为了确定已知电路的逻辑功能,或者检查电路设计 是否合理。 组合逻辑电路的分析步骤如下: (1) 根据已知的逻辑图, 从输入到输出逐级写出逻辑函数表达式。 (2) 利用公式法或卡诺图法化简逻辑函数表达式。 (3) 列真值表, 确定其逻辑功能。 例 1 分析如图所示组合逻辑电路的功能。 解(1) (2)化简 (3) 例真值表:如表3·1所示 图 例 1 的逻辑电路 X 1X 2 X n 12 m 输入信号 输出信号 AC BC AB Y ??=AC BC AB Y ++= A B B C A C Y

表例1的真值表 由表可知,若输入两个或者两个以上的1(或0), 输出Y为1(或0), 此电路在实际应用中可作为多数表决电路使用。 例 2分析如图所示组合逻辑电路的功能。 解(1) 写出如下逻辑表达式: (2) 化简AB Y= 1 AB A Y A Y? = ? = 1 2 B AB B Y Y? = ? = 1 3 B AB AB A Y Y Y? ? = = 3 2 B AB AB A Y? ? = ) ( ) (B AB AB A+ ? + = AB B A+ = B A⊕ =

河北联合大学 (原河北理工大学)电工学试题库及答案 第12章 组合逻辑电路 习题

第12章门电路和组合逻辑电路 10636晶 体 管 的 开 关 作 用 是 ( )。 (a) 饱 合 时 集— 射 极 接 通, 截 止 时 集— 射 极 断 开 (b) 饱 合时 集— 射 极 断 开, 截 止 时 集— 射 极 接 通 (c) 饱 合 和 截 止 时 集— 射 极 均 断 开 20639逻 辑 图 和 输 入 A 、B 的 波 形 如 图 所 示, 试 分 析 在 t 1 瞬 间 输 出 F 为( )。(a) “1” (b) “0”(c) 不 定 t 1 A B 图1 图2 30646逻 辑 符 号 图 如 图 所 示, 其 逻 辑 式 为 ( ) 。 (a) F =AB (b) F =AB (c) F =A B + (d) F =A B + 40649图 示 逻 辑 符 号 的 逻 辑 状 态 表 为 ( )。 & A F B

50653逻 辑 符 号 如 图 所 示, 表 示 “ 或” 门 的 是( ) 。 & A F B ≥1A F B & A F B =1 A F B () a () b () c () d 60664逻 辑 图 和 输 入 A 的 波 形 如 图 所 示, 输 出 F 的 波 形 为 ( )。 "0" 1 A F () a () b (c) A F F 70702由 开 关 组 成 的 逻 辑 电 路 如 图 所 示, 设 开 关 接通 为“1”, 断 开 为“0”, 电 灯 亮 为“1”, 电 灯 暗 为“0”, 则 该 电 路 的 逻 辑 式 为( )。 (a) F = 0 (b) F = 1 (c) F = A A A

数字电子技术第三章(组合逻辑电路)作业及答案

第三章(组合逻辑电路)作业及答案 1、写出图3-1所示组合逻辑电路中输入输出的逻辑关系式和真值表。 图3-1:组合逻辑电路逻辑图 解:(1)C A A AC B A Y +=++=1 (2)D B C B A CD B A CD B A D BD CD A B A Y ++=++=+=++=)( 2 2、试分析图3-2所示组合逻辑电路,写出其逻辑函数表达式。若设S 1﹑S 0为功能控制信号,A ﹑B 为输入信号,L 为输出,说明当S 1﹑S 0取不同信号值时,电路所实现的逻辑功能。 图3-2:组合逻辑电路逻辑图 3、试用与门、或门和非门,或者与门、或门和非门的组合来实现如下各逻辑函数关系,画出相应的逻辑电路图。 (1)1 Y AB BC =+ A B S 1 S =1 =1 & =1

(2)2Y A C B = +() (3)3Y ABC B EF G =++() & & 1 ≥Y1. 1 A B C . & 1 ≥Y2 . 1 A B C & 1 ≥1 ≥& & 1 A B C . E F G .Y3 . . . 4、试用门电路设计4线-2线优先编码器,输入、输出信号都是高电平有效,要求任一按键按下时,G S 为1,否则G S =0;还要求没有按键按下时,E O 信号为1,否则为0。

5、试用逻辑门电路设计一个2选1数据选择器,输入信号为A、B,选择信号为S,输出信号为Y,要求写出真值表、逻辑函数表达式和画出逻辑电路图。 6、某公司3条装配线各需要100kW电力,采用两台发电动机供电,一台100kW,另外一台是200kW,3条装配线不同时开工,试设计一个发电动机控制电路,可以按照需求启动发电动机以达到节电的目的。

第三章_组合逻辑电路

第3章组合逻辑电路 德州学院计算机系:刘树海 3-1概述 组合逻辑电路的特点 ?从功能上 ?从电路结构上 逻辑功能的描述 组合逻辑电路的分析方法 组合逻辑电路的设计方法 一、逻辑抽象 ?分析因果关系,确定输入/输出变量 ?定义逻辑状态的含意(赋值) ?列出真值表 二、写出函数式 三、选定器件类型 四、根据所选器件:对逻辑式化简(用门) 变换(用M S I) 或进行相应的描述(P L D)五、画出逻辑电路图,或下载到P L D 六、工艺设计 设计举例: ?设计一个监视交通信号灯状态的逻辑电路 设计举例: 1.抽象 ?输入变量:红(R)、黄(A)、绿(G) 信号(Z)

2. 写出逻辑表达式 设计举例: 3. 选用小规模S S I 器件 4. 化简 5. 画出逻辑图 3-2若干常用组合逻辑电路 ? 加法器 ? 数值比较器 ? 编码器 ? 译码器 ? 数据选择器 ? 数据分配器 加法器 一、1位半加器 RAG RAG G RA AG R G A R Z ++++=''''''

逻辑图: S i A i B i C i i i i i i i i i i i i A B S +=i i i B A C =B A ⊕=2. 全加器(F ull A dder ) 两个 1 位二进制数相加,考虑低位进位。 A i + B i + C i -1 ( 低位进位 ) = S i ( 和 ) → C i ( 向高位进位 ) 1 0 1 --- A 1 1 1 0 --- B + --- 低位进位 1 0 0 1 0 1 1 1 1 1 -1-1-1- i i i i i i i i i i i i i C B A C B A C B A C B A S +++=1 111----+++=i i i i i i i i i i i i i C B A C B A C B A C B A C --- S 高位进位← 0

第4章组合逻辑电路课后答案

第4章 [题].分析图电路的逻辑功能,写出输出的逻辑函数式,列出真值表,说明电路逻辑功能的特点。 图P4.1 B Y AP 56 P P = 图 解:(1)逻辑表达式 ()()() 5623442344 232323232323 Y P P P P P CP P P P CP P P C CP P P P C C P P P P C P PC ===+=+=++=+ 2311P P BP AP BABAAB AB AB ===+ ()()()2323Y P P C P P C AB AB C AB ABC AB AB C AB AB C ABC ABC ABC ABC =+=+++=+++=+++ (2)真值表 (3)功能 从真值表看出,这是一个三变量的奇偶检测电路,当输入变量中有偶数个1和全为0

时,Y =1,否则Y=0。 [题] 分析图电路的逻辑功能,写出Y 1、、Y 2的逻辑函数式,列出真值表,指出电路完成什么逻辑功能。 图P4.3 B 1 Y 2 [解] 解: 2Y AB BC AC =++ 12 Y ABC A B C Y ABC A B C AB BC AC ABC ABC ABC ABC =+++=+++++=+++()()) 真值表: 由真值表可知:、C 为加数、被加数和低位的进位,Y 1为“和”,Y 2为“进位”。

[题] 图是对十进制数9求补的集成电路CC14561的逻辑图,写出当COMP=1、Z=0、和COMP=0、Z=0时,Y 1~Y 4的逻辑式,列出真值表。 图P4.4 [解] (1)COMP=1、Z=0时,TG 1、TG 3、TG 5导通,TG 2、TG 4、TG 6关断。 3232211 , ,A A Y A Y A Y ⊕===, 4324A A A Y ++= (2)COMP=0、Z=0时, Y 1=A 1, Y 2=A 2, Y 3=A 3, Y 4=A 4。 、 COMP=1、Z=0时的真值表 COMP=0、Z=0的真值表从略。 [题] 用与非门设 1,输

河北联合大学 (原河北理工大学)电工学试题库及答案 第12章 组合逻辑电路 习题与答案

第12章组合逻辑电路 12.1 什么叫半加,什么叫全加,两者有何不同,半加器可否组成全加器?全加器可否用作半加器? 【答】半加器是一种不考虑低位来的进位数,只能对本位上的两个二进制数求和的组合电路。 全加器是一种将低位来的进位数连同本位的两个二进制数三者一起求和的组合电路。 根据化简后的全加器的逻辑式可知,用二个半加器和一个或门可以组合成全加器。 将全加器低位进位输入端Ci-1接0,可以用作半加器。12.2 组合电路的设计方法与组合电路的分析方法有何不同? 【答】组合电路的设计方法是在已知逻辑功能的前提下设计出逻辑电路。而组合电路的分析方法则是在已知组合电路结构的前提下,研究其输出与输入之间的逻辑关系。二者实施目的恰好相反。故设计步骤和分析步骤基本相反。 12.3已知四种门电路的输入和对应的输出波形如图所示。试分析它们分别是哪四种门电路?

【解】分析电路图所示波形可知,F1为或门电路的输出,F2为与门电路的输出,F3为非门电路的输出,F4为或非门电路的输出。 12.4已知或非门和非门的输入波形如图中的A和B所示,试 画出它们的输出波形。 【解】由或非门和与非门的逻辑功能求得或非门的输出 F1和与非门的输出 F2的波形如图。

12.5试分析如图所示电路的逻辑功能。 【解】逐级推导各门电路的输出,最后求得 可见该电路为异或门。 12.6 图是一个控制楼梯照明的电路,在楼上和楼下各装一个单刀双掷开关。楼下开灯后可以在楼上关灯,楼上开灯后同样也可在楼下关灯,试设计一个用与非门实现同样功能的逻辑电路。 A B B A F +=

【解】 如果将开关A 、B 同时掷向上方或者下方,灯就会亮。因此灯亮的逻辑表达式为 用与非门实现这一功能的逻辑电路如图所示。 12.7某十字路口的交通管理灯需要一个报警电路,当红、黄、绿三种信号灯单独亮或者黄、绿灯同时亮时为正常情况,其它情况均属不正常。发生不正常情况时,输出端应输出高电平报警信号。试用与非门实现这一要求。 【解】根据逻辑功能列出的真值表如表所示。 B A A B B A AB F ?=+=

相关主题
文本预览
相关文档 最新文档