当前位置:文档之家› 半导体工艺中的英语词汇上课讲义

半导体工艺中的英语词汇上课讲义

半导体工艺中的英语词汇上课讲义
半导体工艺中的英语词汇上课讲义

A

Abrupt junction 突变结Accelerated testing 加速实验

Acceptor 受主Acceptor atom 受主原子

Accumulation 积累、堆积Accumulating contact 积累接触Accumulation region 积累区Accumulation layer 积累层

Active region 有源区Active component 有源元

Active device 有源器件Activation 激活

Activation energy 激活能Active region 有源(放大)区

Admittance 导纳Allowed band 允带

Alloy-junction device合金结器件Aluminum(Aluminium)铝

Aluminum - oxide 铝氧化物Aluminum passivation 铝钝化

Ambipolar 双极的Ambient temperature 环境温度

Amorphous 无定形的,非晶体的Amplifier 功放扩音器放大器

Analogue(Analog)comparator 模拟比较器Angstrom 埃

Anneal 退火Anisotropic 各向异性的

Anode 阳极Arsenic (AS)砷

Auger 俄歇Auger process 俄歇过程

Avalanche 雪崩Avalanche breakdown 雪崩击穿

Avalanche excitation雪崩激发

B

Background carrier 本底载流子Background doping 本底掺杂

Backward 反向Backward bias 反向偏置

Ballasting resistor 整流电阻Ball bond 球形键合

Band 能带Band gap 能带间隙

Barrier 势垒Barrier layer 势垒层

Barrier width 势垒宽度Base 基极

Base contact 基区接触Base stretching 基区扩展效应

Base transit time 基区渡越时间Base transport efficiency基区输运系数Base-width modulation基区宽度调制Basis vector 基矢

Bias 偏置Bilateral switch 双向开关

Binary code 二进制代码Binary compound semiconductor 二元化合物半导体Bipolar 双极性的Bipolar Junction Transistor (BJT)双极晶体管

Bloch 布洛赫Blocking band 阻挡能带

Blocking contact 阻挡接触Body - centered 体心立方

Body-centred cubic structure 体立心结构Boltzmann 波尔兹曼

Bond 键、键合Bonding electron 价电子

Bonding pad 键合点Bootstrap circuit 自举电路

Bootstrapped emitter follower 自举射极跟随器Boron 硼

Borosilicate glass 硼硅玻璃Boundary condition 边界条件

Bound electron 束缚电子Breadboard 模拟板、实验板

Break down 击穿Break over 转折

Brillouin 布里渊Brillouin zone 布里渊区

Built-in 内建的Build-in electric field 内建电场

Bulk 体/体内Bulk absorption 体吸收

Bulk generation 体产生Bulk recombination 体复合

Burn - in 老化Burn out 烧毁

Buried channel 埋沟Buried diffusion region 隐埋扩散区

C

Can 外壳Capacitance 电容

Capture cross section 俘获截面Capture carrier 俘获载流子

Carrier 载流子、载波Carry bit 进位位

Carry-in bit 进位输入Carry-out bit 进位输出

Cascade 级联Case 管壳

Cathode 阴极Center 中心

Ceramic 陶瓷(的)Channel 沟道

Channel breakdown 沟道击穿Channel current 沟道电流

Channel doping 沟道掺杂Channel shortening 沟道缩短

Channel width 沟道宽度Characteristic impedance 特征阻抗

Charge 电荷、充电Charge-compensation effects 电荷补偿效应

Charge conservation 电荷守恒Charge neutrality condition 电中性条件

Charge drive/exchange/sharing/transfer/storage 电荷驱动/交换/共享/转移/存储

Chemmical etching 化学腐蚀法Chemically-Polish 化学抛光

Chemmically-Mechanically Polish (CMP)化学机械抛光Chip 芯片

Chip yield 芯片成品率Clamped 箝位

Clamping diode 箝位二极管Cleavage plane 解理面

Clock rate 时钟频率Clock generator 时钟发生器

Clock flip-flop 时钟触发器Close-packed structure 密堆积结构

Close-loop gain 闭环增益Collector 集电极

Collision 碰撞Compensated OP-AMP 补偿运放

Common-base/collector/emitter connection 共基极/集电极/发射极连接

Common-gate/drain/source connection 共栅/漏/源连接

Common-mode gain 共模增益Common-mode input 共模输入

Common-mode rejection ratio (CMRR)共模抑制比

Compatibility 兼容性Compensation 补偿

Compensated impurities 补偿杂质Compensated semiconductor 补偿半导体

Complementary Darlington circuit 互补达林顿电路

Complementary Metal-Oxide-Semiconductor Field-Effect-Transistor(CMOS)

互补金属氧化物半导体场效应晶体管

Complementary error function 余误差函数

Computer-aided design (CAD)/test(CAT)/manufacture(CAM)计算机辅助设计/ 测试/制造

Compound Semiconductor 化合物半导体Conductance 电导

Conduction band (edge)导带(底)Conduction level/state 导带态Conductor 导体Conductivity 电导率

Configuration 组态Conlomb 库仑

Conpled Configuration Devices 结构组态Constants 物理常数

Constant energy surface 等能面Constant-source diffusion恒定源扩散Contact 接触Contamination 治污

Continuity equation 连续性方程Contact hole 接触孔

Contact potential 接触电势Continuity condition 连续性条件

Contra doping 反掺杂Controlled 受控的

Converter 转换器Conveyer 传输器

Copper interconnection system 铜互连系统Couping 耦合

Covalent 共阶的Crossover 跨交

Critical 临界的Crossunder 穿交

Crucible坩埚Crystal defect/face/orientation/lattice 晶体缺陷/晶面/晶向/晶格Current density 电流密度Curvature 曲率

Cut off 截止Current drift/dirve/sharing 电流漂移/驱动/共享

Current Sense 电流取样Curvature 弯曲

Custom integrated circuit 定制集成电路Cylindrical 柱面的Czochralshicrystal 直立单晶

Czochralski technique 切克劳斯基技术(Cz法直拉晶体J)

D

Dangling bonds 悬挂键Dark current 暗电流

Dead time 空载时间Debye length 德拜长度

De.broglie 德布洛意Decderate 减速

Decibel (dB)分贝Decode 译码

Deep acceptor level 深受主能级Deep donor level 深施主能级

Deep impurity level 深度杂质能级Deep trap 深陷阱

Defeat 缺陷

Degenerate semiconductor 简并半导体Degeneracy 简并度

Degradation 退化Degree Celsius(centigrade)/Kelvin 摄氏/开氏温度Delay 延迟Density 密度

Density of states 态密度Depletion 耗尽

Depletion approximation 耗尽近似Depletion contact 耗尽接触

Depletion depth 耗尽深度Depletion effect 耗尽效应

Depletion layer 耗尽层Depletion MOS 耗尽MOS

Depletion region 耗尽区Deposited film 淀积薄膜

Deposition process 淀积工艺Design rules 设计规则

Die 芯片(复数dice)Diode 二极管

Dielectric 介电的Dielectric isolation 介质隔离

Difference-mode input 差模输入Differential amplifier 差分放大器Differential capacitance 微分电容Diffused junction 扩散结

Diffusion 扩散Diffusion coefficient 扩散系数

Diffusion constant 扩散常数Diffusivity 扩散率

Diffusion capacitance/barrier/current/furnace 扩散电容/势垒/电流/炉

Digital circuit 数字电路Dipole domain 偶极畴

Dipole layer 偶极层Direct-coupling 直接耦合

Direct-gap semiconductor 直接带隙半导体Direct transition 直接跃迁

Discharge 放电Discrete component 分立元件

Dissipation 耗散Distribution 分布

Distributed capacitance 分布电容Distributed model 分布模型

Displacement 位移Dislocation 位错

Domain 畴Donor 施主

Donor exhaustion 施主耗尽Dopant 掺杂剂

Doped semiconductor 掺杂半导体Doping concentration 掺杂浓度

Double-diffusive MOS(DMOS)双扩散MOS.

Drift 漂移Drift field 漂移电场

Drift mobility 迁移率Dry etching 干法腐蚀

Dry/wet oxidation 干/湿法氧化Dose 剂量

Duty cycle 工作周期Dual-in-line package (DIP)双列直插式封装

Dynamics 动态Dynamic characteristics 动态属性

Dynamic impedance 动态阻抗

E

Early effect 厄利效应Early failure 早期失效

Effective mass 有效质量Einstein relation(ship)爱因斯坦关系

Electric Erase Programmable Read Only Memory(E2PROM)一次性电可擦除只读存储器

Electrode 电极Electrominggratim 电迁移

Electron affinity 电子亲和势Electronic -grade 电子能

Electron-beam photo-resist exposure 光致抗蚀剂的电子束曝光

Electron gas 电子气Electron-grade water 电子级纯水

Electron trapping center 电子俘获中心Electron Volt (eV)电子伏

Electrostatic 静电的Element 元素/元件/配件

Elemental semiconductor 元素半导体Ellipse 椭圆

Ellipsoid 椭球Emitter 发射极

Emitter-coupled logic 发射极耦合逻辑Emitter-coupled pair 发射极耦合对

Emitter follower 射随器Empty band 空带

Emitter crowding effect 发射极集边(拥挤)效应

Endurance test =life test 寿命测试Energy state 能态

Energy momentum diagram 能量-动量(E-K)图Enhancement mode 增强型模式

Enhancement MOS 增强性MOS Entefic (低)共溶的

Environmental test 环境测试Epitaxial 外延的

Epitaxial layer 外延层Epitaxial slice 外延片

Expitaxy 外延Equivalent curcuit 等效电路

Equilibrium majority /minority carriers 平衡多数/少数载流子

Erasable Programmable ROM (EPROM)可搽取(编程)存储器

Error function complement (erfc)余误差函数

Etch 刻蚀Etchant 刻蚀剂

Etching mask 抗蚀剂掩模Excess carrier 过剩载流子

Excitation energy 激发能Excited state 激发态

Exciton 激子Extrapolation 外推法

Extrinsic 非本征的Extrinsic semiconductor 杂质半导体

F

Face - centered 面心立方Fall time 下降时间

Fan-in 扇入Fan-out 扇出

Fast recovery 快恢复Fast surface states 快界面态

Feedback 反馈Fermi level 费米能级

Fermi-Dirac Distribution 费米-狄拉克分布Femi potential 费米势

Fick equation 菲克方程(扩散)Field effect transistor 场效应晶体管

Field oxide 场氧化层Filled band 满带

Film 薄膜Flash memory 闪烁存储器

Flat band 平带Flat pack 扁平封装

Flicker noise 闪烁(变)噪声Flip-flop toggle 触发器翻转

Floating gate 浮栅Fluoride etch 氟化氢刻蚀

Forbidden band 禁带Forward bias 正向偏置

Forward blocking /conducting正向阻断/导通

Frequency deviation noise频率漂移噪声

Frequency response 频率响应Function 函数

G

Gain 增益Gallium-Arsenide(GaAs)砷化钾

Gamy ray r 射线Gate 门、栅、控制极

Gate oxide 栅氧化层Gauss(ian)高斯

Gaussian distribution profile 高斯掺杂分布Generation-recombination 产生-复合Geometries 几何尺寸Germanium(Ge)锗

Graded 缓变的Graded (gradual)channel 缓变沟道

Graded junction 缓变结Grain 晶粒

Gradient 梯度Grown junction 生长结

Guard ring 保护环Gummel-Poom model 葛谋-潘模型

Gunn - effect 狄氏效应

H

Hardened device 辐射加固器件Heat of formation 形成热

Heat sink 散热器、热沉Heavy/light hole band 重/轻空穴带

Heavy saturation 重掺杂Hell - effect 霍尔效应

Heterojunction 异质结Heterojunction structure 异质结结构

Heterojunction Bipolar Transistor(HBT)异质结双极型晶体

High field property 高场特性

High-performance MOS.(H-MOS)高性能MOS. Hormalized 归一化Horizontal epitaxial reactor 卧式外延反应器Hot carrior 热载流子

Hybrid integration 混合集成

I

Image - force 镜象力Impact ionization 碰撞电离

Impedance 阻抗Imperfect structure 不完整结构

Implantation dose 注入剂量Implanted ion 注入离子

Impurity 杂质Impurity scattering 杂质散射

Incremental resistance 电阻增量(微分电阻)In-contact mask 接触式掩模Indium tin oxide (ITO)铟锡氧化物Induced channel 感应沟道

Infrared 红外的Injection 注入

Input offset voltage 输入失调电压Insulator 绝缘体

Insulated Gate FET(IGFET)绝缘栅FET Integrated injection logic集成注入逻辑Integration 集成、积分Interconnection 互连

Interconnection time delay 互连延时Interdigitated structure 交互式结构Interface 界面Interference 干涉

International system of unions国际单位制Internally scattering 谷间散射Interpolation 内插法Intrinsic 本征的

Intrinsic semiconductor 本征半导体Inverse operation 反向工作

Inversion 反型Inverter 倒相器

Ion 离子Ion beam 离子束

Ion etching 离子刻蚀Ion implantation 离子注入

Ionization 电离Ionization energy 电离能

Irradiation 辐照Isolation land 隔离岛

Isotropic 各向同性

J

Junction FET(JFET)结型场效应管Junction isolation 结隔离

Junction spacing 结间距Junction side-wall 结侧壁

L

Latch up 闭锁Lateral 横向的

Lattice 晶格Layout 版图

Lattice binding/cell/constant/defect/distortion 晶格结合力/晶胞/晶格/晶格常熟/晶格缺陷/晶格畸变

Leakage current (泄)漏电流Level shifting 电平移动

半导体常用英语词汇-

MFG 常用英文单字 Semiconductor半导体 导体、绝缘体和半导体主要依据导电系数的大小,决定了电子的移动速度。 导体:金、银、铜、铁、人、水……导电系数大,传导容易 绝缘体:塑料、木头、皮革、纸……导电系数小、传导不容易 半导体:硅中加锗、砷、镓、磷……平时不导电加特定电压后导电Wafer 芯片或晶圆:原意为法国的松饼,饼干上有格子状的饰纹,与FAB内生产的芯片图形类似。 Lot 批;一批芯片中最多可以有25片,最少可以只有一片。 ID Identification的缩写。用以辨识各个独立的个体,就像公司内每一个人有自己的识别证。 Wafer ID 每一片芯片有自己的芯片刻号,叫Wafer ID。 Lot ID 每一批芯片有自己的批号,叫Lot ID。 Part ID 各个独立的批号可以共享一个型号,叫Part ID。 WIP Work In Process,在制品。从芯片投入到芯片产品,FAB内各站积存了相当数量的芯片,统称为FAB内的WIP 。 一整个制程又可细分为数百个Stage和Step,每一个Stage所堆积的芯片, 称为Stage WIP。 Lot Priority 每一批产品在加工的过程中在WIP中被选择进机台的优先级。 Super Hot Run的优先级为1,视为等级最高,必要时,当Lot在 上一站加工时,本站便要空着机台等待Super Hot Run。 Hot Run的优先级为2,紧急程度比Super Hot Run次一级。 Normal的优先级为3,视为正常的等级,按正常的派货原则,或 视常班向生产指令而定。 Cycle time 生产周期,FAB Cycle Time 定义为:从芯片投入到芯片产生的这一段时间。 Stage Cycle Time:Lot从进站等候开始到当站加工后出货时间点截止。Spec. 规格Specification的缩写。产品在机台加工过程中,每一站均设定规格。 机台加工后,产品或控片经由量测机台量测,该产品加工后,是否在规格 内。若超出规格﹝Out of SPEC﹞,必须通知组长将产品Hold,并同时通知 制程工程师前来处理,必要时机台要停工,重新monitor,确定量测规格, 藉以提升制程能力。 SPC Statistics Process Control统计制程管制;透过统计的手法,搜集分析资料,然后调整机台参数设备改善机台状况或请让机台再处理每一批产品时,都

工艺常用英语词汇

3.工艺常用英语词汇 探伤仪flaw detector 探伤flaw detection/crack detection 不合格 not up to the (required) standard/below the mark 合格qualified/up to standard 合格证 certificate of inspection/certificate of quality 一级片class Ⅰ typ e film 二级片class Ⅱ type film 三级片class Ⅲ type film 试压流体test fluid 试验证书test certificate 试压工作队testing spread 硬度试验test of hardness testing 试压准备test preparation 试验压力test pressure 试压泵 test pump 试焊口test weld 强度试压strength test 严密性试压proof test 通径试验drift test 吹扫purging 压力表manometer/pressure gauge

压力表式温度计pressure-spring thermometer 压力开关pressure switch 压力阀pressure valve 精度系数quality coefficient 精度等级accuracy class/precision class 精度检查accuracy checking/alignment test 精度控制accuracy control 精度要求required precision 稳压试验standup pressure test 对管工stabber 施工工作队spread 盲板blank 临时盲板temporary blank 临时措施temporary 水压试验test of water pressure 返工remade 返修rework 截面section 管沟pipe trench 管沟截面尺寸section dimension of pipe trench 管沟开挖质量quality of pipe trench 开挖机械excavation machinery

硬件类常用英语词汇

硬件类常用英语词汇 下面是小编整理的硬件类常用英语词汇,希望对大家有帮助。 计算机英语词汇大全 常见硬件篇 CPU:Central Processing Unit,中央处理单元,又叫中央处理器或微处理器,被喻为电脑的心脏。 LD:Laser Disk,镭射光盘,又称激光视盘。 CD:Compact Disc,压缩光盘,又称激光唱盘。 CD-ROM:Compact Disc-Read Only Memory,压缩光盘-只读记忆(存储),又叫“只读光盘”。 VCD:Video Compact Disc,视频压缩光盘,即人们通常所说的“小影碟”。 RAM:Random Access Memory,随机存储器,即人们常说的“内存”。 ROM:Read-Only Memory,只读存储器。 Seagate:美国希捷硬盘生产商。Seagate英文意思为“通往海洋的门户”,常指通海的运河等。 Quantum:英文含意为“定量,总量”。著名硬盘商标,美国昆腾硬盘生产商(Quantum Corporation)。

Maxtor:“水晶”,美国Maxtor硬盘公司。 PCI:Peripheral Component Interconnection,局部总线(总线是计算机用于把信息从一个设备传送到另一个设备的高速通道)。PCI总线是目前较为先进的一种总线结构,其功能比其他总线有很大的提高,可支持突发读写操作,最高传输率可达132Mbps,是数据传输最快的总线之一,可同时支持多组外围设备。PCI不受制于 CPU处理器,并能兼容现有的各种总线,其主板插槽体积小,因此成本低,利于推广。 EDO:Extended Data Output,扩充数据输出。当CPU的处 理速度不断提高时,也相应地要求不断提高DRAM传送数据速度, 一般来说,FPM(Fast Page Model)DRAM传送数据速度在60-70ns,而EDO DRAM比FPM快3倍,达20ns。目前最快的是SDRAM(Synchronous DRAM,同步动态存储器),其存取速度高 达10ns。 SDRAM:Synchronous Dynamic Random Access Memory,同步动态随机存储器,又称同步DRAM,为新一代动态 存储器。它可以与CPU总线使用同一个时钟,因此,SDRAM存储 器较EDO存储器能使计算机的性能大大提高。 Cache:英文含义为“(勘探人员等贮藏粮食、器材等的)地窖; 藏物处”。电脑中为高速缓冲存储器,是位于CPU和主存储器 DRAM(Dynamic Randon Access Memory)之间,规模较小,但 速度很高的存储器,通常由SRAM(Static Random Access

半导体行业的英文单词和术语

半导体行业的英文单词和术语 A 安全地线safe ground wire 安全特性security feature 安装线hook-up wire 按半周进行的多周期控制multicycle controlled by half-cycle 按键电话机push-button telephone set 按需分配多地址demand assignment multiple access(DAMA) 按要求的电信业务demand telecommunication service 按组编码encode by group B 八木天线Yagi antenna 白噪声white Gaussian noise 白噪声发生器white noise generator 半波偶极子halfwave dipole 半导体存储器semiconductor memory 半导体集成电路semiconductor integrated circuit 半双工操作semi-duplex operation 半字节Nib 包络负反馈peak envelop negative feed-back 包络延时失真envelop delay distortion 薄膜thin film 薄膜混合集成电路thin film hybrid integrated circuit 保护比(射频)protection ratio (RF) 保护时段guard period 保密通信secure communication 报头header 报文分组packet 报文优先等级message priority 报讯alarm 备用工作方式spare mode 背景躁声background noise 倍频frequency multiplication 倍频程actave 倍频程滤波器octave filter 被呼地址修改通知called address modified notification 被呼用户优先priority for called subscriber 本地PLMN local PLMN 本地交换机local exchange 本地移动用户身份local mobile station identity ( LMSI) 本地震荡器local oscillator

公司常用单词

A above-the-line advertising 线上广告,广告代理商能从媒介获得佣金(代理费)的广告,如报 刊广告、广播广告、电视广告、影院广告、户外广告等. account executive (AE) :客户经理,广告公司的业务人员职称.客户经理往往须负责下列工作:1,与客户及内部其他部门共同计划广告(planning),向各部门传达客户的诉求;2,内部协调(coordination);3,将广告设计稿提供给客户;4,监督执行政府的有关广告规章和法规(regulatory matters);5,利润管理(agency profit management).客户经理通过计划和协调公司的服务部门,为客户提供更好的服务. account service 客户服务 客户服务是广告代理商的中心工作,肩负着使客户满意从而建立起长期的合作关系,及推动 广告代理商内部工作有效运转的任务.它是广告代理商直接同客户进行沟通、交流的一种功能. advertising agency 广告代理商 习惯上称为“广告公司”,即《中华人民共和国广告法》中所称的广告经营者,一般设有许 多职能和业务部门. advertising campaign 广告活动 有时称为“运动”或“战役”.广告活动包括以下四个重点:制作适当的销售信息、及时传达给受众、选择适当的时机,用合理的成本.广告主制定一项能测定的目标后,为达到这一目 标制定广告战略,然后在市场上执行,包括:广告计划、广告制作、销售及营销等. advertising department 广告部 分为企业的广告部和媒介的广告部.企业的广告经理负责拟定、审核及实施企业的广告计划.一般也是负责有关广告的具体工作.媒介的广告部经理负责出售报刊等的版面,广播、电视 的时间等. airport advertising 机场广告 利用机场的候机室及在机场内其他各种场地和设备上制作刊出的广告,也包括在指示牌上 制作的广告. Appeal 诉求 广告通过媒介向目标受众诉说,以求达到所期望的反应.诉求是制定某种道德、动机、认同, 或是说服受众应该去做某件事的理由.诉求分三类:理性的、感性的和道义的.诉求所用语 句应具有强烈的感染力. area sampling 区域抽样 群体抽样的一种形式.样本空间按区域进行划分,选定某抽样区域,如一个县、一个行政区、 一个街区,从中确定调查对象. Audience 受众 接受广告的公众,也就是广告的对象.通过任何广告媒介接触的观众或听众,都有数量、特征 方面的不同需要考虑到.这些不同可使广告做到有的放矢. audience composition 受众构成 广告媒介受众的人数、性别、年龄、职业、经济情况等的构成. audience share 受众份额 根据任何日期或时段中,看到广告主广告的受众占总受众的百分比,即为受众份额.也可以是 某一电视频道总受众的某一百分比. audio-visual advertising 视听广告

常用化工机械专业英语对照

常用机械专业英语对照 Cutting: 切割 socket weld承插焊接 fillet weld角焊,填角焊 branch connection分支接续 fabrication tolerance.制造容差 local heat treatment 局部热处理 threaded pipe螺纹管 seal welding.密封焊接 flange joint 凸缘接头 undercut 底切 feeder馈电线 conduit outlet电线引出口 seal fitting 密封接头, 密封配件 Screw thread lubricant螺纹润滑剂 Seal: 绝缘层 weld reinforcement 焊缝补强 lock washer 锁紧[止动, 防松]垫圈electrical panel.配电板,配电盘 nipple螺纹接头 zinc plated.镀锌的 ring joint 环接, 围缘接合 bolt 螺栓 control: 控制器 National Electrical Code 全国电气规程master schedule 主要图表, 综合图表, 设计任务书, 主要作业表 torque wrench 转矩扳手 job site 施工现场 flange connection.凸缘联接 Hard hat:安全帽 Goggles:护目镜 stockpile贮存 packing list装箱单 crate: 柳条箱 purchased material list原材料进货单 back-feed反馈 wire coil线盘,线卷, NPT thread. 美国标准锥管螺纹 cable gland 电缆衬垫 terminal block线弧, 接头排接线盒, 接线板, 线夹 power drill机械钻 connector. 接线器 insulated sleeve绝缘套管 wire connector接线器 wire terminal电线接头 control wiring控制线路motor lead电动机引出线 power wiring电力布线 tender document.提供证件 orifice plate.挡板 nut 螺母 flange gasket 法兰垫片 dimensional inspection 尺寸检验 burn through 烧蚀 piping system.管道系统 reinforcement of weld加强焊缝fabrication.制造 dye penetrant examination染料渗透试验法magnetic particle examination 磁粉检验girth weld环形焊缝 cement lined piping 水泥衬里 weld joint 焊缝, 焊接接头 spool drawing 管路图, 管路详图 spot test 抽查, 当场测试 butt weld 对接焊缝 Random Radiography随机射线照相检查radiographic examination 射线照相检查assembly.装配 erection 架设 examination试验 cable tray.电缆盘 rigid steel conduit 钢制电线管 power control 功率控制 arc welding 电弧焊 control cable控制电缆操纵索 normal bend 法向[法线]弯管 cable glands: 电缆衬垫 exfoliation剥落 power receptacle 电力插座 grounding conductor 接地导体 lighting fixture照明器材 junction box 分线箱 race way 电缆管道 terminal box接线盒 distribution board配电盘, 配电屏receptacle 插座 tumble switch.翻转开关,拨动式开关cathodic protection system 阴极保护系统Circuit breaker断路开关 amplifier panel 放大器盘 control console 控制台 electrical material电气材料convenience receptacle.电源插座 cable gland 电缆衬垫

最完整的计算机中的常用英语单词大全

A Active-matrix主动距陈 Adapter cards适配卡 Advanced application高级应用Analytical graph分析图表 Analyze分析 Animations动画 Application software 应用软件Arithmetic operations算术运算 Audio-output device音频输出设备Access time存取时间 access存取 accuracy准确性 ad network cookies广告网络信息记录软件 Add-ons附软件 Address地址 Agents代理 Analog signals模拟信号 Applets程序 Asynchronous communications port异步通信端口 Attachment附件 B Bar code条形码 Bar code reader条形码读卡器 Basic application基础程序 Binary coding schemes二进制译码方案Binary system二进制系统 Bit比特 Browser浏览器 Bus line总线 Backup tape cartridge units备份磁带盒单元 Bandwidth带宽 Bluetooth蓝牙 Broadband宽带 Browser浏览器 Business-to-business企业对企业电子商务 Business-to-consumer企业对消费者Bus总线C Cables连线 Cell单元箱 Chain printer链式打印机 Character and recognition device字符标识识别设备 Chart图表 Chassis支架 Chip芯片 Clarity清晰度 Closed architecture封闭式体系结构Column列 Combination key结合键 computer competency计算机能力connectivity连接,结点 Continuous-speech recognition system 连续语言识别系统 Control unit操纵单元 Cordless or wireless mouse无线鼠标Cable modems有线调制解调器 carpal tunnel syndrome腕骨神经综合症CD-ROM可记录光盘 CD-RW可重写光盘 CD-R可记录压缩光盘 Channel信道 Chat group谈话群组chlorofluorocarbons(CFCs) ]氯氟甲烷Client客户端 Coaxial cable同轴电缆 cold site冷战 Commerce servers商业服务器Communication channel信道Communication systems信息系统Compact disc rewritable Compact disc光盘 computer abuse amendments act of 19941994计算机滥用法案 computer crime计算机犯罪 computer ethics计算机道德 computer fraud and abuse act of 1986计算机欺诈和滥用法案 computer matching and privacy protection act of 1988计算机查找和隐

化工装置常用英语词汇对照

化工装置常用英语词汇对照 一概论 introduction 方案(建议书) proposal 可行性研究 feasibility study 方案设计concept design 工艺设计process design 基础设计basic design 详细设计detail design 开工会议kick-off meeting 审核会议review meeting 外商投资foreign investment 中外合资joint venture 中外合营joint venture 补偿贸易compensation trade 合同合同附件 contract 卖方vendor 买方buyer 顾客client 承包商contractor 工程公司company 供应范围scope of supply

生产范围production scope 生产能力production capacity 项目project 界区battery limit 装置plant 公用工程utilities 工艺流程图 process flow diagram 工艺流程方块图process block diagram 管道及仪表流程图 piping and instrument drawing 物料及热量平衡图 mass & heat balance diagram 蒸汽及冷凝水平衡图steam & condensate balance diagram 设备布置图 equipment layout 设备表equipment list 成品(产品) product(final product) 副产品by-product 原料raw-material 设计基础数据basic data for design 技术数据technical data 数据表data sheet 设计文件design document 设计规定design regulation 现场服务site service

广告公司常用英语词汇

广告公司常用英语词汇 A above-the-line advertising 线上广告,广告代理商能从媒介获得佣金(代理费)的广告,如报刊广告、广播广告、电视广告、影院广告、户外广告等。 account executive (AE) :客户经理,广告公司的业务人员职称。客户经理往往须负责下列工作:1,与客户及内部其他部门共同计划广告(planning),向各部门传达 客户的诉求;2,内部协调(coordination);3,将广告设计稿提供给客户;4,监督执行政府的有关广告规章和法规(regulatory matters);5,利润管理(agency profit management)。客户经理通过计划和协调公司的服务部门,为客户提供更好的 服务。 account service 客户服务 客户服务是广告代理商的中心工作,肩负着使客户满意从而建立起长期的合作 关系,及推动广告代理商内部工作有效运转的任务。它是广告代理商直接同客户进行沟通、交流的一种功能。 advertising agency 广告代理商 习惯上称为“广告公司”,即《中华人民共和国广告法》中所称的广告经营 者,一般设有许多职能和业务部门。 advertising campaign 广告活动 有时称为“运动”或“战役”。广告活动包括以下四个重点:制作适当的销售 信息、及时传达给受众、选择适当的时机,用合理的成本。广告主制定一项能测定的目标后,为达到这一目标制定广告战略,然后在市场上执行,包括:广告计划、 广告制作、销售及营销等。 advertising department 广告部

分为企业的广告部和媒介的广告部。企业的广告经理负责拟定、审核及实施企业的广告计划。一般也是负责有关广告的具体工作。媒介的广告部经理负责出售报刊等的版面,广播、电视的时间等。 airport advertising 机场广告 利用机场的候机室及在机场内其他各种场地和设备上制作刊出的广告,也包括在指示牌上制作的广告。 Appeal 诉求 广告通过媒介向目标受众诉说,以求达到所期望的反应。诉求是制定某种道德、动机、认同,或是说服受众应该去做某件事的理由。诉求分三类:理性的、感性的和道义的。诉求所用语句应具有强烈的感染力。 area sampling 区域抽样 群体抽样的一种形式。样本空间按区域进行划分,选定某抽样区域,如一个县、一个行政区、一个街区,从中确定调查对象。 Audience 受众 接受广告的公众,也就是广告的对象。通过任何广告媒介接触的观众或听众,都有数量、特征方面的不同需要考虑到。这些不同可使广告做到有的放矢。audience composition 受众构成 广告媒介受众的人数、性别、年龄、职业、经济情况等的构成。 audience share 受众份额 根据任何日期或时段中,看到广告主广告的受众占总受众的百分比,即为受众份 额。也可以是某一电视频道总受众的某一百分比。 audio-visual advertising 视听广告

电子信息工程专业英语词汇(精华整理版)

transistor n 晶体管 diode n 二极管semiconductor n 半导体 resistor n 电阻器 capacitor n 电容器 alternating adj 交互的 amplifier n 扩音器,放大器integrated circuit 集成电路 linear time invariant systems 线性时不变系统voltage n 电压,伏特数 tolerance n 公差;宽容;容忍condenser n 电容器;冷凝器dielectric n 绝缘体;电解质electromagnetic adj 电磁的 adj 非传导性的 deflection n偏斜;偏转;偏差 linear device 线性器件 the insulation resistance 绝缘电阻 anode n 阳极,正极 cathode n 阴极 breakdown n 故障;崩溃 terminal n 终点站;终端,接线端emitter n 发射器 collect v 收集,集聚,集中insulator n 绝缘体,绝热器oscilloscope n 示波镜;示波器 gain n 增益,放大倍数 forward biased 正向偏置 reverse biased 反向偏置 P-N junction PN结 MOS(metal-oxide semiconductor)金属氧化物半导体 enhancement and exhausted 增强型和耗尽型 integrated circuits 集成电路 analog n 模拟 digital adj 数字的,数位的horizontal adj, 水平的,地平线的vertical adj 垂直的,顶点的amplitude n 振幅,广阔,丰富attenuation n衰减;变薄;稀薄化multimeter n 万用表 frequency n 频率,周率 the cathode-ray tube 阴极射线管 dual-trace oscilloscope 双踪示波器 signal generating device 信号发生器 peak-to-peak output voltage 输出电压峰峰值sine wave 正弦波 triangle wave 三角波 square wave 方波 amplifier 放大器,扩音器 oscillator n 振荡器 feedback n 反馈,回应 phase n 相,阶段,状态 filter n 滤波器,过滤器 rectifier n整流器;纠正者 band-stop filter 带阻滤波器 band-pass filter 带通滤波器 decimal adj 十进制的,小数的hexadecimal adj/n十六进制的 binary adj 二进制的;二元的octal adj 八进制的 domain n 域;领域 code n代码,密码,编码v编码 the Fourier transform 傅里叶变换 Fast Fourier Transform 快速傅里叶变换microcontroller n 微处理器;微控制器assembly language instrucions n 汇编语言指令 chip n 芯片,碎片 modular adj 模块化的;模数的 sensor n 传感器 plug vt堵,塞,插上n塞子,插头,插销coaxial adj 同轴的,共轴的 fiber n 光纤relay contact 继电接触器 single instruction programmer 单指令编程器 dedicated manufactures programming unit 专 供制造厂用的编程单元 beam n (光线的)束,柱,梁 polarize v(使)偏振,(使)极化 Cathode Ray Tube(CRT)阴极射线管 neuron n神经元;神经细胞 fuzzy adj 模糊的 Artificial Intelligence Shell 人工智能外壳程序 Expert Systems 专家系统 Artificial Intelligence 人工智能 Perceptive Systems 感知系统 neural network 神经网络 fuzzy logic 模糊逻辑 intelligent agent 智能代理 electromagnetic adj 电磁的 coaxial adj 同轴的,共轴的 microwave n 微波 charge v充电,使充电 insulator n 绝缘体,绝缘物 nonconductive adj非导体的,绝缘的 antenna n天线;触角 modeling n建模,造型 simulation n 仿真;模拟 prototype n 原型 array n 排队,编队 vector n 向量,矢量 wavelet n 微波,小浪 sine 正弦cosine 余弦 inverse adj倒转的,反转的n反面;相反v 倒转 high-performance 高精确性,高性能 two-dimensional 二维的;缺乏深度的 three-dimensional 三维的;立体的;真实的 object-oriented programming面向对象的程序 设计 spectral adj 光谱的 attenuation n衰减;变薄;稀释 distortion n 失真,扭曲,变形 wavelength n 波长 refractive adj 折射的 ATM 异步传输模式Asynchronous Transfer Mode ADSL非对称用户数字线Asymmetric digital subscriber line VDSL甚高速数字用户线very high data rate digital subscriber line HDSL高速数据用户线high rate digital subscriber line FDMA频分多址(Frequency Division Multiple Access) TDMA时分多址(Time Division Multiple Access) CDMA同步码分多址方式(Code Division Multiple Access) WCDMA宽带码分多址移动通信系统(Wideband Code Division Multiple Access) TD-SCDMA(Time Division Synchronous Code Division Multiple Access)时分同步码分多址 SDLC(synchronous data link control)同步数据 链路控制 HDLC(high-level data link control)高级数据链路 控制 IP/TCP(internet protocol /transfer Control Protocol)网络传输控制协议 ITU (International Telecommunication Union) 国际电信联盟 ISO国际标准化组织(International Standardization Organization); OSI开放式系统互联参考模型(Open System Interconnect) GSM全球移动通信系统(Global System for Mobile Communications) GPRS通用分组无线业务(General Packet Radio Service) FDD(frequency division duplex)频分双工 TDD(time division duplex)时分双工 VPI虚路径标识符(Virtual Path Identifier); ISDN(Integrated Services Digital Network)综 合业务数字网 IDN综合数字网(integrated digital network) HDTV (high definition television)高清晰度电视 DCT(Discrete Cosine Transform)离散余弦变换 VCI(virtual circuit address)虚通路标识 MAN城域网Metropolitan area networks LAN局域网local area network WAN广域网wide area network 同步时分复用STDM Synchronous Time Division Multiplexing 统计时分复用STDM Statistical Time Division Multiplexing 单工传输simplex transmission 半双工传输half-duplex transmission 全双工传输full-duplex transmission 交换矩阵Switching Matrix 电路交换circuit switching 分组交换packet switching 报文交换message switching 奇偶校验parity checking 循环冗余校验CRC Cyclic Redundancy Check 虚过滤Virtual filter 数字滤波digital filtering 伪随机比特Quasi Random Bit 带宽分配Bandwidth allocation 信源information source 信宿destination 数字化digitalize 数字传输技术Digital transmission technology 灰度图像Grey scale images 灰度级Grey scale level 幅度谱Magnitude spectrum 相位谱Phase spectrum 频谱frequency spectrum 智能设备Smart Device 软切换Soft handover 硬切换Hard Handover 相干检测Coherent detection 边缘检测Edge detection 冲突检测collision detection 业务集合service integration 业务分离/综合service separation/ integration 网络集合network integration 环形网Ring networks 令牌环网Token Ring network 网络终端Network Terminal 用户终端user terminal 用户电路line circuit 电路利用率channel utilization(通道利用率) 相关性coherence 相干解调coherent demodulation 数字图像压缩digital image compression 图像编码image encoding 有损/无损压缩lossy/lossless compression 解压decompression 呼叫控制Call Control 误差控制error control 存储程序控制stored program control 存储转发方式store-and-forward manner 语音\视频传输voice\video transmission 视频点播video-on-demand(VOD) 会议电视Video Conference 有线电视cable television 量化quantization 吞吐量throughput 话务量traffic 多径分集Multipath diversity 多媒体通信MDM Multimedia Communication 多址干扰Multiple Access Interference 人机交互man machine interface 交互式会话Conversational interaction

工程英语-现场常见词汇

工程英语-现场常见词汇

Owner/Client/Customer/Company 业主 Buyer 买方 Seller/vendor 卖方 Licensor 专利商 Licensee 专利受让方 Third party 第三方 Patent 专利 Property/proprietory technology 专利技术 Know-how 专有技术/知识 Contractor 承包商 Subcontractor分包商 Supplier 供货商 Sub-supplier 分供商 Manufacturer 制造厂家 Limited liability 有限责任 Joint venture 合资企业 Foreign-funded enterprise 外商独资企业Chairman 董事长 Board of director 董事会 President/ General manager 总经理 Legal representative 法人 Authorized representative 授权代表 Power of attorney 委托书 Signature 签字 Official seal公章 SEI-Sinopec Engineering Incorporated 中国石化工程建设公司 SSEC-Sinopec Shanghai Engineering Company 中国石化上海工程公司 SNEC-Sinopec Ningbo Engineering Company 中国石化宁波工程公司 TCC-Tianchen Chemical Engineering Company 中国天辰化学工程公司 HQCEC- Huanqiu Contracting & Engineering Corp.中国寰球化学工程公司 LPEC-Luoyang Petrochemical Engineering Company 洛阳石油化工工程公司 LDI-Sinopec Lanzhou Design Institute 中国石化兰州设计院 Chengda Chemical Engineering Company 中国成达化学工程公司 Hualu Engineering Company中国华陆工程公司Aker Kvaerner 克瓦纳(英) Bechtel 柏克德(美) AMEC 阿美科(英) Fluor 福陆(美) Foster Wheeler 福斯特惠勒(美) Technip 德西尼布(法) TR-Técnicas Reunidas联合技术公司(西班牙)JGC 日晖(日) Toyo 东洋(日) ABB Lummus ABB鲁玛斯(美) SINOPEC 中石化 CNOOC中海油 PETROCHINA 中石油EXXONMOBIL 埃克森美孚(美) SHELL 英荷壳牌 BP 英国石油(英) BASF 巴斯夫(德) Chevron 雪佛龙(美) Dow Chemical 陶氏化学(美) Sumitomo 住友(日) Saudi Aramco 沙特阿美(沙特) UOP 环球油品公司(美) Project/Work项目/工程 Rabigh Development Project 拉比格炼油项目 Location 未置/地点 Onshore/domestic 国内、国产 Offshore/overseas 国外、进口 Plant 装置 Facility 设施 Unit 装置/单元 Permanent/temporary work 永久工程/临时工程 Project/Plant/Job/Construction Site现场 Battery limit 界区/红线 Fence 围墙 Embankment/bund 围堤 Containment 围护 Retaining wall 挡土墙 Onsite/ISBL-Inside Battery Limit 场内 Offsite/OSBL-Outside Battery Limit 场外 Contract/agreement 合同/协议 Memorandum of Understanding 谅解备忘录 Letter of Intent意向书 Original 原件/正本 Copy 复印件/副本 Duplicate 一式两份 Triplicate一式三份 Quadruplicate一式四份 Quintuplicate一式五份 Sextuplicate一式六份 Septuplicate一式七份 Octuplicate一式八份 Nonuplicate一式九份 Decuplicate一式两份 Blueprint 蓝图 Distribution 分发 Holder 持有人 Transmittal 文件传送单 Controlled copy 受控版本 Uncontrolled copy 非受控版本 Edition 版本 Revision 版次、修订版 Terms and conditions 条款 General conditions 通用条款 Special conditions 特殊条款 Sign/Execute/Enter into 签署 Come into force/effect生效 Effective Date 生效日期 Contract term 和同期 Expiration 届满、到期 Termination 提前终止 Obligation 义务 Liability(债务)责任 Performance 执行、业绩 Breach 违约 Default 失职 Negligence 疏怠职责 Remedy 救济、补救 Compensation 补偿 Performance guarantee 性能保证 Waiver 放弃/弃权 Severability 可分割性 Modification/Change/Variation/Change Order 变更/ 变更单Amendment 修订 Supplement/Addition/Addendum增加/增补 Deletion删除 Governing law 管辖法律 Assignment/transfer 转让 Insurance 保险 Worker’s compensation 工伤责任险 Employer’s liability 雇主责任险 All-loss liability 一切损失险 Policy保单 Insurance certificate 保险证书/凭证 QA-Quality Assurance质保 QC/Quality Control 质控 Procurement and supply 采购供应 Schedule and progress control 工期与进度控制 Reporting 报告 Acceptance 验收 Spare part 备件 Rework 返工 Repair 修补/修复/修理 Replacement 更换 Substitute 替换/代用 Warranty Period 保质期 Claim 索赔 HSE-Health, Safety and Environmental 健康、安全 与环境 HSSE- Health, Safety, Security & Environmental 健 康、安全与环境 Taxes 税款 Liquidated damages 违约赔偿 Invoice 发票 Payment 付款 Intellectual property right 知识产权 Confidentiality 保密 Disclosure 披露 Prior oral/written consent 事先口头/书面同意 Publication 公开/宣传 Suspension 停工 Take-over/hand-over 交工 Force Majeure 不可抗力 Lien 留置(权) 2

相关主题
文本预览
相关文档 最新文档