当前位置:文档之家› 8×8LED点阵显示数字A到F

8×8LED点阵显示数字A到F

8×8LED点阵显示数字A到F
8×8LED点阵显示数字A到F

摘要

本文研究了基于AT89S51单片机LED8×8点阵显示屏的设计并运用PROTEUS软件进行原理图绘制,运用KEIL软件进行仿真和调试。主要介绍了LED8×8点显示屏的硬件电路设计、汇编程序设计与调试、PROTEUS软件绘制原理图和实物制作等方面的内容,本显示屏的设计具有体积小、硬件少、电路结构简单及容易实现等优点。能帮助广大电子爱好者了解点阵显示原理,认识单片机的基本结构、工作原理及应用方法,并提高单片机知识技术的运用能力。利用单片机来设计的系统,既能实现系统所需的功能,也可以满足计数的准确、迅速性,并且电路简单,操作简单,通用性强。

目录

1.绪论 (2)

1.1前言 (2)

1.2国内外的研究概况 (2)

2. 系统概述 (3)

3.课程设计目的 (3)

4.课程设计题目和任务 (3)

5.设计内容 (4)

5.1系统功能的描述 (4)

5.2 系统硬件设计 (4)

5.2.1 AT89S51芯片的介绍 (4)

5.2.2 单片机系统设计 (7)

5.2.3 单片机的发展趋势 (8)

5.2.4 时钟电路的设计 (9)

5.2.5 复位电路的设计 (9)

5.2.6驱动电路的设计 (10)

5.2.7 8×8LED点阵 (10)

5.3 计数器初值计算 (11)

5.4 字母A到F点阵显示代码的形成 (11)

5.5 程序流程图 (12)

5.6 源程序 (12)

6. 调试及性能分析 (13)

6.1系统调试 (13)

6.1.1软件调试 (13)

6.1.2硬件调试 (14)

6.2设计分析 (14)

7.设计总结 (14)

附件调试结果 (15)

参考书目 (16)

1.绪论

1.1 前言

LED点阵显示屏是集微电子技术、计算机技术、信息处理技术于一体的大型显示屏系统。它以其色彩鲜艳,动态范围广,亮度高,寿命长,工作稳定可靠等优点而成为众多显示媒体以及户外作业显示的理想选择。同时也可广泛应用到军事、车站、宾馆、体育、新闻、金融、证券、广告以及交通运输等许多行业。目前大多数的LED点阵显示系统自带字库。其显示和动态效果(主要是显示内容的滚动)的实现主要依靠硬件扫描驱动,该方法虽然比较方便,但显示只能按照预先的设计进行。而实际上经常会遇到一些特殊要求的动态显示,比如电梯运行中指示箭头的上下移动、某些智能仪表幅值的条形显示、广告中厂家的商标显示等。这时一般的显示系统就很难达到要求。另外,由于受到存储器本身的局限,其特殊字符往往难以显示,同时显示内容也不能随意更改。因此就提出了一种利用PC机和单片机控制的LED显示系统通信方法。该方法可以对显示内容进行实时控制,从而实现诸如动态显示效果。同时用户也可以在PC机上进行显示效果的预览,显示内容亦可以即时修改。同时它具有发光率高、使用寿命长、组态灵活、色彩丰富以及对室内外环境适应能力强等优点。并广泛的用于公交汽车、商店、体育场馆、车站、学校、银行、高速公路等公共场所的信息发布和广告宣传。LED 显示屏发展较快,本文讲述了基于AT89S51单片机8×8 LED点阵显示的基本原理、硬件组成与设计、程序编写与调试、Proteus软件仿真等基本

环节和相关技术。

1.2 国内外的研究概况

目前大多数的LED点阵显示系统自带字库。其显示和动态效果(主要是显示内容的滚动)的实现主要依靠硬件扫描驱动,该方法虽然比较方便,但显示只能按照预先的设计进行。而实际上经常会遇到一些特殊要求的动态显示,比如电梯运行中指示箭头的上下移动、某些智能仪表幅值的条形显示、广告中厂家的商标显示等。这时一般的显示系统就很难达到要求。另外,由于受到存储器本身的局限,其特殊字符或图案也往往难以显示,同时显示内容也不能随意更改。本文提出一种利用PC机和单片机控制的LED显示系统通讯方法。该方法可以对显示内容(包括汉字和特殊图符)进行实时控制,从而实现诸如闪动、滚动、打字等多种动态显示效果。该方法同时还可以调节动态显示的速度,同时用户也可以在PC

机上进行显示效果的预览,显示内容亦可以即时修改。在我国改革开放之后,特别是进入90年代国民经济高速增长,对公众场合发布信息的需求日益强烈,LED 显示屏的出现正好适应了这一市场形势,因而在LED显示屏的设计制造技术与应用水平上都得到了迅速的提高,生产也得到了迅速的发展,并逐步形成产业,成为光电子行业的新兴产业领域。

2.系统概述

LED点阵显示系统中各模块的显示方式有静态和动态显示两种。静态显示原理简单、控制方便,但硬件接线复杂,在实际应用中一般采用动态显示方式,动态显示采用扫描的方式工作,由峰值较大的窄脉冲驱动,从上到下逐次不断地对显示屏的各行进行选通,同时又向各列送出表示图形或文字信息的脉冲信号,反复循环以上操作,就可显示各种图形或文字信息。本文将介绍一种采用单片机AT89S51进行控制的8*8LED点阵。该点阵可实现动态显示字母A~F的功能。3.课程设计目的

(1)巩固和提高学过的基础知识和专业知识。

(2)提高运用所学的知识进行独立思考和综合分析、解决实际问题的能力。

(3)培养掌握正确的思维方法和利用软件和硬件解决实际问题的基本技能。

(4)增加对单片机的认识,加深对单片机理论方面的理解。

(5)掌握单片机的内部功能模块的应用,如定时器/计数器、中断、片内外存贮器、I/O口、串行口通讯等。

(6)熟练掌握汇编语言的编程方法,将理论联系到实践中去,提高我们的动脑和动手的能力。

4.课程设计题目和任务

要求:按键控制8×8LED点阵屏显示字母A—F。

任务:

(1).根据要求设计总体方案;

(2).绘制硬件电路原理图;

(3).画出软件程序流程图;

(4).编写软件源代码(必须有注释);

(5).在Proteus软件下仿真运行或在做出的实物上运行;

(6).编写符合学院要求的课程设计说明书。

5.设计内容

5.1系统功能的描述

用单片机控制8×8LED点阵显示字母A到F,利用硬件与软件相结合的方法,通过单片机将字母的代码分别送到相应的列线上面,经过软件编程使二极管从A 到F依次显示字母。

5.2 系统硬件设计

图1系统框图

点阵LED硬件电路如上图所示,其包括单片机,电源电路,复位电路,驱动电路和LED点阵电路。本设计的核心是利用单片机读取显示字型码。电路是单片机的驱动电路,复位电路可在需要的时候,手动使单片机程序计数器复位清零,从而使程序重新执行。另外此设计中通过阳极驱动电路向点阵输送字型码,采用74LS138译码器,循环扫描。RP1为电阻排,含有8个电阻,作为P0口各位的上拉电阻,以保证P0口能够输出高电平。系统框图如图1所示。

5.2.1 AT89S51芯片的介绍

所谓单片机,就是将CPU,RAM,ROM,定时/计数器和多种I/O接口电路都集成在一块集成芯片上的微型计算机。

MCS--51系列单片机是美国Intel公司在1980年推出的8位单片微型计算机,包含51和52两个子系列。51子系列的典型产品有8031,8051和8751三种机型52子系列包括8032,8052二种主要机型。51子系列的配置如下:(1)8位CPU;

(2)振荡频率1.2~12MHZ;

(3)128个字节的片内数据存储器(片内RAM);

(4)21个专用寄存器;

(5)4KB的片内程序存储器(8031无);

(6)8位并行I/O口P0,P1,P2,P3;

(7)一个全双工串行I/O口;

(8)2个16位定时器/计数器;

(9)5个中断源,分为2个优先级;

本系统选用ATMEL89S51系列单片机,由于它的模块化设计为适应具体的应用提供了极大的灵活性,便于扩展功能,有效的提高了系统的经济性。AT89S51是一种低工耗、高性能的片内含有4KB快闪可编程/擦除只读存储器的八位CMOS微控制器,使用高密度、非易失存储编程器对程序存储器重复编程。

AT89S51具有以下特点:

(1)与MCS-51微控制器产品系列兼容。

(2)片内有4KB可在线重复编程的快闪擦写存储器。

(3)32条可编程I/O线。

(4)程序存储器具有三级加密保护。

(5)可编程全全双工串行通道。

(6)空闲状态维持低功耗和掉电状态保存存储内容。

(7)而且与87C51系列的引脚也完全兼容。

89S51单片机结构如图5-1所示:

图5-1 89S51单片机结构框图

51系列单片机的引脚功能:

主电源引脚Vss、Vcc

Vss:接地,Vcc:接+5V电源

外接晶振引脚XTAL1、XTAL2

XTAL1:片内反向放大器输入端,XTAL2:片内反向放大器输

出端

输入/输出引脚P0、P1、P2、P3

P0.0~P0.7:P0口的8个引脚,P0口是8位漏极开路型双向I/0端口,在接有片外存储器或I/0扩展接口时,P0.0~P0.7分时复用,作低8位地址总线与双向8位数据总线

P1.0~P1.7:P1口的8个引脚,P1口是一个带内部上拉电阻的8位双向I/O 口,对于52子系列,P1.0还可用于定时器/计数器2的计数脉冲输入端T2,P1.1还可作定时器/计数器2的外部控制端T2EX。

P2.0~P2.7:P2口的8个引脚,P2口也是一个带内部上拉电阻的双向I/O口,在访问片外存储器或扩展I/O接口时,还用于提供高8位地址。

P3.0~P3.7:P3口的8个引脚,P3口也是一个带上拉电阻的I/O口,除可以作双向的输入输出口外,还具有第2功能。见表5-1

控制线(4条):

ALE/PROG:双功能引脚。由于P0口的8个引脚是低8位地址总线与数据

总线分时复用,因此必须将P0口输出的低8位地址进行锁存。在访问片外存储器时,每机器周期该信号出现2次。其下降沿用于控制锁存P0口输出的低8 位地址。即使不访问片外存储器,该引脚上仍出现上述频率的周期性信号,因此也可作为对外输出的时钟脉冲,频率为振荡器频率的1/6,必须注意的是:在访问片内外存储器时,ALE脉冲会跳空1个。对片内含有EPROM的机型,此引脚在编程时可作为编程脉冲PROG的输入端。

PSEN:片外程序存储器读选通信号输出端,在CPU从片外程序存储器取指期间,此信号每个机器周期两次有效,以通过P0口读入指令,在访问片外数据存储器时,该信号不出现。

EA/Vpp:双功能引脚,为片外程序存储器选用端。当该引脚信号有效时,选择片外程序存储器,即EA/Vpp=1时,访问片内程序存储器。对片内含有EPROM的机型,此引脚在编程期间用于施加+21v的编程电压。

RST/VPO:双功能引脚,在单片机工作期间,当此引脚上出现连接2个机器周期的高电平时可实现复位操作。在Vcc掉电期间,若该引脚接备用电源(+5v),可向片内RAM供电,以保存片内RAM中的信息。

5.2.2 单片机系统设计

按照单片机系统扩展与系统配置状况,单片机应用系统可分为最小系统、最小功耗系统和典型应用系统等。

(1)最小应用系统:能维持单片机运行的最简单配置的系统。这种系统成本低廉、结构简单,常常构成一些简单的控制系统,如开关状态的输入/输出控制等。

对于片内有ROM/EPROM/FLASH RAM的单片机,构成最小应用系统时,只要将单片机接上时钟电路、复位电路和电源即可,如图5-2(a)所示。

图5-2 89S51单片机最小应用系统

由于集成度的限制,这种最小应用系统只能用作一些小型的控制单元。其应用特点是:

①有可供用户使用的大量I/O口线,P0、P1、P2、P3都可用作用户I/O口用。

由于没有外部存储器扩展,EA应接高电平。

②内部存储器容量有限(只有4KB地址空间)。

③应用系统开发具有特殊性。由于这类应用系统应用程序量不大,外电路简单,因而采用模拟开发手段较好。

对于片内无ROM/EPROM/FLASH RAM的单片机,其最小系统除了外部配置时钟电路、复位电路和电源外,还应在片外扩展EPROM、EEPROM作为程序存储器用,如图5-2(b)所示,EA应接地。

(2)最小功耗应用系统

最小功耗应用系统是指为了保证正常运行,系统的功率消耗最小。这是单片机应用系统中的一个引人入目的构成方式。在单片机芯片结构设计时,一般为构成最小功耗应用系统提供了必要条件,例如,各种系列的单片机都有CMOS工艺类型,而且在这类单片机中都设置了低功耗运行的WAIT和STOP方式。

设计最小功耗应用系统时,必须使系统内的所有器件、外设都有最小的功耗,而且能充分运用WAIT和STOP方式运行。最小功耗应用系统常用在一些袖珍式智能仪表、野外工作仪表以及在无源网络、接口中的单片机工作子站。

5.2.3 单片机的发展趋势

今后单片机的发展趋势,将是进一步向着多功能、高性能、高速度、低功耗、低价格、存储容量扩大和增强I/O功能及结构兼容等方面发展。其发展趋势主要有以下几个方面:

1. 多功能

在单片机中尽可能多地把应用所需的存储器、各种功能的I/O口都集成在一块芯片内,使单片机的功能更加强大。如把LED、LCD或VFD显示驱动器也开始集成在8位单片机中。

2. 高性能

进一步改进CPU的性能,加快指令运算的速度和提高系统控制的可靠性,采用精简指令系统计算机RISC(Reduced Instruction Set Computer)结构和流水线技术,大幅度提高运行速度。现指令速度最高者已达100MIPS(Million Instruction Per Seconds,即兆指令每秒),并加强了位处理功能、中断和定时控制功能,使单片机的性能明显地优于同类型的微处理器。单片机集成度进—步提高,有的单片机的寻址能力已突破64 KB的限制,8位、16位的单片机有的寻址能力已达到1 MB和16 MB。片内ROM的容量可达64 KB,RAM的容量可达2 KB。

3. 低电压、低功耗

允许使用的电压范围越来越宽,一般在3 6V范围内工作,有的已能在1.2V

或0.9V电压下工作。。几乎所有的单片机都具有省电运行方式。单片机的功耗已从mA级降到μA级,甚至1μA以下,在一粒钮扣电池下就可长期工作。低功耗化的效应不仅是功耗低,而且带来了产品的高可靠性、高抗干扰能力以及产品的便携化。

4. 低价格

单片机应用的另一显著特点是量大面广。促使世界各国公司在提高单片机性能的同时,也十分注意降低价格。如Z-8系列的Z8600、80C51系列的80C31每片仅售1-1.5美元。提高性能价格比是各公司竞争的主要策略和不懈追求的目标。

5.2.4 时钟电路的设计

时钟电路有AT89S51的18、19脚的时钟端(XTAL 1及XTAL 2)以及12MHz 晶振Y1、电容C2、C3组成,采用片内振荡方式,如图2所示。

图2 时钟电路

5.2.5 复位电路的设计

复位电路采用简易的上电复位电路,主要由电阻R1、R2,电容C1,开关K 组成,分别接至AT89S51的RST复位输入端,如图3所示。

图3 复位电路

5.2.6驱动电路的设计

LED驱动模块是LED显示屏设计的关键部分,驱动电路设计的好坏直接关系到LED显示屏的亮度、稳定度等重要指标。本次设计中LED的驱动是采用三极管和74LS138实现的。

此系统中驱动电路是由74LS138

和三极管组成的,原理图如图4所示。

图4 驱动电路

5.2.7 8×8LED点阵

(1)工作原理

8×8点阵共由64个发光二极管组成,且每个发光二极管是放置在行线和列线的交叉点上。当对应的某一行置1,某一列置0,则相应的二极管就亮。LED 点阵显示器是由一串发光或者不发光的点状显示器按矩阵的方式排列组成的。不论显示图形还是文字,都是控制与组成这些图形或者文字的各个点所在的位置相对应的LED器件发光。通常事先把需要显示的图形文字转换成点阵图形,再按照显示控制的要求以一定的格式形成显示数据。对显示屏而言,每一个LED发光器件占数据中的一位,在需要该器件发光的数据相应的位填1,否则填0.根据控制电路的安排,相反的定义同样可行。显示屏如图5

图5显示屏

(2)接线方法

LED 的行扫描端接到单片机的P0口,列扫描端接置三极管的发射极。列扫描端用于LED 的数据扫描,通过74LS138的译码和三极管的驱动,使LED 发光;行扫描通过P0口为LED 的显示给出相应的数据。

5.4系统软件设计 5.3 计数器初值计算

计算公式: 计数T T M TC /-=

式中,TC 为定时初值;T 计数是单片机时钟周期T CLK 的12倍;M 为计数器摸值该值和计数器工作方式有关,在方式0时M 为213;在方式1时M 的值为216;在方式2和3为28。

s ms TC μ1/4216-=H F 06061536400065536==-=

5.4 字母A 到F 点阵显示代码的形成

假设显示字母“A ”,形成的列代码为 00H ,08H ,10H ,30H ,50H ,30H ,10H ,08H ;只要把这些代码分别送到相应的列线上面,即可实现“A ”的字母显示。送第一列线代码到P3端口,同时置第一行线为“0”,其它行线为“1”,延时4ms ,送第二列线代码到P3端口,同时置第二行线为“0”,其它行线为“1”,延时4ms ,如此下去,直到送完最后一列代码,又从头开始送。

字母A 到F 点阵显示代码:

A :00H ,08H ,10H ,30H ,50H ,30H ,10H ,08H

B :00H ,00H ,7EH ,52H ,52H ,7FH ,00H ,00H

C :00H ,00H ,7EH ,42H ,42H ,42H ,00H ,00H

D :00H ,00H ,7EH ,42H ,42H ,42H ,3CH ,00H

E :00H ,00H ,7EH ,52H ,52H ,52H ,00H ,00H

F :00H ,00H ,7EH ,50H ,50H ,50H ,50H ,00H

5.5 程序流程图

主程序流程图如图6所示

图6 主程序流程图

5.6 源程序

#include

#define uint unsigned int

#define uchar unsigned char

sbit button=P3^3;

int tab1[][8]={

{0x00,0x08,0x10,0x30,0X50,0X30,0X10,0X08},//"A" // {0X00,0X00,0X7E,0X52,0X52,0X7E,0X00,0X00},//"B" // {0X00,0X00,0X7E,0X42,0X42,0X42,0X00,0X00},//"C"// {0X00,0X00,0X7E,0X42,0X42,0X42,0X3C,0X00},//"D" // {0X00,0X00,0X7E,0X52,0X52,0X52,0X00,0X00},//"E"// {0X00,0X00,0X7E,0X50,0X50,0X50,0X50,0X00}//"F" // };

const uchar tab2[]={0xf8,0xf9,0xfa,0xfb,0xfc,0xfd,0xfe,0xff}; char a;j,r,q=0,t=0;

void int1() interrupt 2 {

a++;t=0;j=0;

if(a==6)

a=0;

while(button==0);

}

void delay(uint n)

{

uint i;

for(i=0;i

}

void main(void)

{

EA=1;

EX1=1;

IT1=0;

while(1)

{

for(r=0;r<15;r++)

for(j=0;j<8;j++)

{

P2=tab2[t++];

P0=tab1[a][j];

delay(55);

if(t==8)

t=0;

}

}

}

6. 调试及性能分析6.1系统调试

6.1.1软件调试

首先根据各单元电路模块,利用Proteus软件将总的硬件原理图绘制好,设计好各模块要使用的I/O口,如:8×8点阵LED显示屏时候插反,先检测下,无硬件错误后,再进行程序编程。

利用C语言的编程方式,将系统要求的基本功能,以及创新功能根据程序流程图编写出来,用Keil软件调试无误后,生成Hex文件。

双击Proteus中的AT89S51芯片,将Keil生成的Hex加载到芯片内,进行仿真,经调试后所编写的程序能够完美实现系统所需的各种功能。

6.1.2硬件调试

硬件调试主要是检测硬件电路是否有短路、断路、虚焊等。具体步骤及测试结果如下:

(1) 检查电源与地线是否全部连接上,用万用表对照电路原理图测试各导线是否完全连接,对未连接的进行修复。

(2) 参照原理图,检查各个器件之间的连接是否连接正确,是否存在虚焊,经测试,各连接不存在问题。

(3) 以上两项检查并修复完后,给该硬件电路上电,电源指示灯点亮。

6.2设计分析

将最小单片机系统与各模块连接好后,8×8点阵LED显示屏显示初始值。经软件调试和硬件调试后,所设计的系统完美实现了所需的控制要求和创新要求。7.设计总结

通过这次的课程设计作品的制作让我对单片机的理论有了更加深入的了解,同时在具体的制作过程中我们发现现在书本上的知识与实际的应用存在着不小的差距.通过这次实践使我更深刻的体会到了理论联系实际的重要性,我们在今后的学习工作中会更加的注重实际。

附件,调试结果:

参考书目

1.张毅刚.单片机原理及应用[M]. 北京:高等教育出版社,2010

2.皮大能.单片机课程设计指导书[M].北京:北京理工大学出版社,2010

3.肖婧.单片机系统设计与仿真:基于Proteus[M].北京:北京航空航天大学出版社,2010

4.马忠梅.单片机的C 语言应用程序设计(第5版)[M].北京:北京航空航天大学出版社,2013

8x8点阵LED显示键盘输入字母A~F.

课程设计报告 课程设计名称:微机原理与接口技术系别:三系 学生姓名:缪广东 班级:10计本(1) 学号:20100303130 成绩: 指导教师:巫宗宾 开课时间:2012—2013 学年 1 学期

一.设计题目 LED显示系统设计 二.主要内容 课程设计是培养和锻炼学生在学习完本门课后综合应用所学理论知识,解决实际工程设计和应用问题的能力的重要教学环节。它具有动手、动脑和理论联系实际的特点,是培养在校工科大学生理论联系实际、敢于动手、善于动手和独立自主解决设计实践中遇到的各种问题能力的一个重要教学环节。 通过课程设计,要求学生熟悉和掌握微机系统的软件、硬件设计的方法、设计步骤,使学生得到微机开发应用方面的初步训练。让学生独立或集体讨论设计题目的总体设计方案、编程、软件硬件调试、编写设计报告等问题,真正做到理论联系实际,提高动手能力和分析问题、解决问题的能力,实现由学习知识到应用知识的初步过渡。通过本次课程设计使学生熟练掌握微机系统与接口扩展电路的设计方法,熟练应用8086汇编语言编写应用程序和实际设计中的硬软件调试方法和步骤,熟悉微机系统的硬软件开发工具的使用方法。 通过课程设计实践,不仅要培养学生事实求是和严肃认真的工作态度,培养学生的实际动手能力,检验学生对本门课学习的情况,更要培养学生在实际的工程设计中查阅资料,撰写设计报告表达设计思想和结果的能力。 在课程设计时,1人一组,设计报告由学生独立完成,不得互相抄袭。教师的主导作用主要在于指明设计思路,启发学生独立设计的思路,解答疑难问题和按设计进度进行阶段审查。学生必须发挥自身学习的主动性和能动性,主动思考问题、分析问题和解决问题,而不应处处被动地依赖指导老师。 学生在设计中可以引用所需的参考资料,避免重复工作,加快设计进程,但必须和题目的要求相符合,保证设计的正确。学生学会掌握和使用各种已有的技术资料,不能盲目地、机械地抄袭资料,必须具体分析,使设计质量和设计能力都获得提高。学生要在老师的指导下制定好自己各环节的详细设计进程计划,按给定的时间计划保质保量的完成个阶段的设计任务。设计中可边设计,边修改,软件设计与硬件设计可交替进行,问题答疑与调试和方案修改相结合,提高设计的效率,保证按时完成设计工作并交出合格的设计报告。

12864点阵型液晶显示屏的基本原理与使用方法(很详细)

12864点阵型液晶显示屏的基本原理与使用方法(很详细) 点阵LCD的显示原理 在数字电路中,所有的数据都是以0和1保存的,对LCD控制器进行不同的数据操作,可以得到不同的结果。对于显示英文操作,由于英文字母种类很少,只需要8位(一字节)即可。而对于中文,常用却有6000以上,于是我们的DOS前辈想了一个办法,就是将ASCII表的高128个很少用到的数值以两个为一组来表示汉字,即汉字的内码。而剩下的低128位则留给英文字符使用,即英文的内码。 那么,得到了汉字的内码后,还仅是一组数字,那又如何在屏幕上去显示呢?这就涉及到文字的字模,字模虽然也是一组数字,但它的意义却与数字的意义有了根本的变化,它是用数字的各位信息来记载英文或汉字的形状,如英文的'A'在字模的记载方式如图1所示: 图1“A”字模图 而中文的“你”在字模中的记载却如图2所示:

图2“你”字模图 12864点阵型LCD简介 12864是一种图形点阵液晶显示器,它主要由行驱动器/列驱动器及128×64全点阵液晶显示器组成。可完成图形显示,也可以显示8×4个(16×16点阵)汉字。 管脚号管脚名称LEVER管脚功能描述 1VSS0电源地 2VDD+5.0V电源电压 3V0-液晶显示器驱动电压 4D/I(RS)H/L D/I=“H”,表示DB7∽DB0为显示数据 D/I=“L”,表示DB7∽DB0为显示指令数据5R/W H/L R/W=“H”,E=“H”数据被读到DB7∽DB0 R/W=“L”,E=“H→L”数据被写到IR或DR 6E H/L R/W=“L”,E信号下降沿锁存DB7∽DB0 R/W=“H”,E=“H”DDRAM数据读到DB7∽DB0 7DB0H/L数据线 8DB1H/L数据线 9DB2H/L数据线 10DB3H/L数据线 11DB4H/L数据线 12DB5H/L数据线 13DB6H/L数据线 14DB7H/L数据线 15CS1H/L H:选择芯片(右半屏)信号 16CS2H/L H:选择芯片(左半屏)信号 17RET H/L复位信号,低电平复位

8x8led点阵显示数字

// 36 8X8LED 点阵显示数字 /* 名称:8X8LED 点阵显示数字 说明:8X8LED 点阵屏循环显 示数字 0~9,刷新过程由定时器中 断完成。 */ #include<> #include<>

#define uchar unsigned char #define uint unsigned int uchar code Table_of_Digits[]= { 0x00,0x3e,0x41,0x41,0x41,0x3e,0x00,0x00, //0 0x00,0x00,0x00,0x21,0x7f,0x01,0x00,0x00, //1 0x00,0x27,0x45,0x45,0x45,0x39,0x00,0x00, //2 0x00,0x22,0x49,0x49,0x49,0x36,0x00,0x00, //3 0x00,0x0c,0x14,0x24,0x7f,0x04,0x00,0x00, //4 0x00,0x72,0x51,0x51,0x51,0x4e,0x00,0x00, //5

0x00,0x3e,0x49,0x49,0x49,0x26,0x00,0x00, //6 0x00,0x40,0x40,0x40,0x4f,0x70,0x00,0x00, //7 0x00,0x36,0x49,0x49,0x49,0x36,0x00,0x00, //8 0x00,0x32,0x49,0x49,0x49,0x3e,0x00,0x00 //9 }; uchar i=0,t=0,Num_Index; //主程序 void main() { P3=0x80;

8 8LED点阵显示实验

8 8LED点阵显示实验 一.实验要求 利用实验系统提供的实验模块点阵显示,编程实现中英文字符的显示。 二.实验目的 1.了解LED点阵显示的基本原理和实现方法。 2.掌握点阵汉字库的编码和从标准字库中提取汉字编码的方法。 三.实验电路及连线 点阵显示模块WTD3088的(红色)列输入线接至内部LED的阴极端,行输入线接至内部LED 的阳极端(若阳极端输入为高电平,阴极端输入低电平,则该LED点亮)。发光点的分布如图22-0所示。 Fig 22-0 WTD3088 LED分布 如图22-1示,本实验模块使用74LS374来控制列输入线的电平值。将74LS374的某输出置0,则对应的LED阴极端被置低。如图22-2示,本实验模块使用74LS273来控制行输入线,并通过9013提供电流驱动。将74LS273的某输出置1,则对应的LED阳极端被置高。每次系统重新开启或总清后,74LS273输出为全0,LED显示被关闭。 通过编程控制各显示点对应LED阳极和阴极端的电平,就可以有效的控制各显示点的亮灭。

Fig 22-1 LED模块及列扫描电路 Fig 22-2 行扫描电路 Fig 22-3地址译码电路 本实验模块使用4块WTD3088组成16×16点阵,以满足汉字显示的要求。为了方便的控制四个单元,使用了一片74LS139译码,产生四个地址片选信号:CLKR1= CSLED,CLKR2= CSLED+1,用于行控制的两片74LS273;CLKC1= CSLED+2,CLKC2= CSLED+3,用于列控制的两片74LS374。实验接线:按示例程序,模块的CSLED接51/96地址的8000H。 四.实验说明

12864点阵液晶显示模块的原理

12864点阵液晶显示模块的原理 12864 点阵液晶显示模块的原理12864 点阵液晶显示模块(LCM)就是由128*64 个液晶显示点组成的一个128 列*64 行的阵列。每个显示点对应一位二 进制数,1 表示亮,0 表示灭。存储这些点阵信息的RAM 称为显示数据存储器。要显示某个图形或汉字就是将相应的点阵信息写入到相应的存储单元中。图形 或汉字的点阵信息当然由自己设计,问题的关键就是显示点在液晶屏上的位置(行和列)与其在存储器中的地址之间的关系。由于多数液晶显示模块的驱动 电路是由一片行驱动器和两片列驱动器构成,所以12864 液晶屏实际上是由左 右两块独立的64*64 液晶屏拼接而成,每半屏有一个512*8 bits 显示数据RAM。左右半屏驱动电路及存储器分别由片选信号CS1 和CS2 选择。(少数厂 商为了简化用户设计,在模块中增加译码电路,使得128*64 液晶屏就是一个 整屏,只需一个片选信号。)显示点在64*64 液晶屏上的位置由行号 (line,0~63)与列号(column,0~63)确定。512*8 bits RAM 中某个存储单元的地址由页地址(Xpage,0~7)和列地址(Yaddress,0~63)确定。每个存储单元存储8 个液晶点的显示信息。为了使液晶点位置信息与存储地址的对应关系更直 观关,将64*64 液晶屏从上至下8 等分为8 个显示块,每块包括8 行*64 列个 点阵。每列中的8 行点阵信息构成一个8bits 二进制数,存储在一个存储单元 中。(需要注意:二进制的高低有效位顺序与行号对应关系因不同商家而不同) 存放一个显示块的RAM 区称为存储页。即64*64 液晶屏的点阵信息存储在8 个存储页中,每页64 个字节,每个字节存储一列(8 行)点阵信息。因此存储单 元地址包括页地址(Xpage,0~7)和列地址(Yaddress,0~63)。例如点亮128*64 的屏中(20,30)位置上的液晶点,因列地址30 小于64,该点在左半屏第29 列,所以CS1 有效;行地址20 除以8 取整得2,取余得4,该点在RAM 中页

8×8点阵数字滚动显示

项目一8×8点阵数字滚动显示 LED点阵的元件符号及内部结构图: 对应编码: 00H, 00H, 00H, 00H, 00H, 00H, 00H, 00H ;NULL 00H, 00H, 3EH, 41H, 41H, 41H, 3EH, 00H ; 0 00H, 00H, 00H, 00H, 21H, 7FH, 01H, 00H ; 1 00H, 00H, 27H, 45H, 45H, 45H, 39H, 00H ; 2 00H, 00H, 22H, 49H, 49H, 49H, 36H, 00H ; 3 00H, 00H, 0CH, 14H, 24H, 7FH, 04H, 00H ; 4 00H, 00H, 72H, 51H, 51H, 51H, 4EH, 00H ; 5 00H, 00H, 3EH, 49H, 49H, 49H, 26H, 00H ; 6 00H, 00H, 40H, 40H, 40H, 4FH, 70H, 00H ; 7 00H, 00H, 36H, 49H, 49H, 49H, 36H, 00H ; 8 00H, 00H, 32H, 49H, 49H, 49H, 3EH, 00H ; 9 00H, 00H, 00H, 00H, 00H, 00H, 00H, 00H ;NULL 硬件设计原理: 单片机利用外部晶振作为时钟信号输入,RST端口接入上电复位信号使它加电后自动进行复位操作。将要显示的字符码表编入单片机的程序中,由单片机控制时序输出相应的扫描数据和字符数据。行码数据由单片机P0口输出,经一个双向总线收发器控制传输方向后进入LED点阵,点亮相应的发光二极管。列码扫描信号由P3口输出后,直接输入LED点阵控制8列的扫描,每列选

8﹡8点阵LED字符显示器

单片机课程设计与制作任务书 专业:学号:姓名: 一、设计题目: 8﹡8点阵LED字符显示器的设计与制作 二、设计要求: 1、具有对文字及时间显示功能; 2、文字时间采用一个LED字符显示器分按键显示,使用按键切换; 3、能够用简单的按键对文字和时间进行设定或调整; 三、设计内容: 硬件设计、软件设计及样品制作 四、设计成果形式: 1、设计说明书一份(不少于4000字); 2、样品一套。 五.完成期限: 2007年 07月 01日 指导教师:年月日 教研室:年月日

目录 第一章引言 (5) 第二章方案选择及总体设计 (6) 第三章控制系统的硬件设计 (8) 第四章软件设计及程序清单 (11) 第五章样品的制作与调试 (16) 第六章使用说明 (18) 第七章结束语 (20) 参考文献 (21)

附录 (21) 第一章引言 当今世界,电子技术迅猛发展,点阵式显示器件作为现代信息显示的重要媒体,在金融证券、体育、机场、交通、商业、广告宣传、邮电电信、指挥调度、国防军事等许多领域中得到了广泛应用。因此点阵式显示器件的研制、生产也的到了迅速的发展,并逐步形成产业,成为光电子行业的新兴产业领域。目前,点阵式显示器件具体包括LED显示模块和LCD显示模块等。现在发展的LCD比较先进,LCD的优点较为明显,他体积小,容易控制,功能强,价格适宜,能够适应显示器的发展方向,因而在通信、家电、大屏幕投影等领域得到了越来越广泛的应用;随着社会经济的迅猛发展,工业生产逐渐实现了自动化,其中,设备的工作状态和生产过程状态的显示与监控起到了非常重要的作用,对于那些需要显示的信息量不是很大,分辨率不是很高,又需要制造成本相对比较低的场合,使用大、小屏幕LED点阵显示器是比较经济适用的,他可以显示字符、数字、汉字和简单图形,可以根据需要使用不同字号、字型,显示亮度较高,并且对环境条件要求比较低。LED显示又可以分为单色显示和双色显示,可以按照需要的大小、形状和颜色进行组合,并用单片机控制实现各种文字或图形的变化,达到宣传和提示的目的。据不完全统计,1991年,全国LED显示屏的产值还不到亿元人民币,而在1993年,仅蓝通公司一家企业的显示屏产值即达1亿多人民币。 由于LED电子显示屏具有所显内容信息量大,外形美观大方,操作使用方便灵活.适用于火车,汽车站,码头,金融证券市场,文化中心,信息中心体育设施等公共场所.该项目广泛涉及了计算机及电子技术中的电源技术,单片机技术,数据通讯技术,显示技术,存储技术,系统软件技术,接口及驱动等技术.我国经济发展迅猛,对信息传播有越来越高的要求.可以相信,LED电子显示屏以其色彩鲜亮夺目,大的显示信息量,寿命长,耗电量小,重量轻,空间尺寸小,稳定性高,易于操作,安装和维护等特点,将在社会经济发展中扮演越来越重要的角色。 第二章方案选择及总体设计

LED 点阵显示数字

LED点阵显示数字#include //--重定义函数变量--// #define uchar unsigned char #define uint unsigned int #define ulong unsigned long //--定义SPI要使用的IO--// sbit MOSIO = P3^4; sbit R_CLK = P3^5; sbit S_CLK = P3^6; //---全局变量声明--// ulong column; //点阵列 ulong row; //点阵行 ulong dt; //--点阵显示数组--// uchar code tab0[] = {0x00, 0x01, 0x00, 0x02, 0x00, 0x04, 0x00, 0x08, 0x00, 0x10, 0x00, 0x20, 0x00, 0x40, 0x00, 0x80, 0x01, 0x00, 0x02, 0x00, 0x04, 0x00, 0x08, 0x00, 0x10, 0x00, 0x20, 0x00, 0x40, 0x00, 0x80, 0x00}; //--10字模--// uchar code tab1[] = {0, 0, 0, 0, 0, 0, 8, 24, 14, 36, 8, 66, 8, 66, 8, 66, 8, 66, 8, 66, 8, 66, 8, 36, 62, 24, 0, 0, 0, 0, 0, 0}; //--09字模--// uchar code tab2[] = {0, 0, 0, 0, 0, 0, 24, 24, 36, 36, 66, 66, 66, 66, 66, 66, 66, 100, 66, 88, 66, 64, 66, 64, 36, 36, 24, 28, 0, 0, 0, 0} ; //--08字模--// uchar code tab3[] = {0, 0, 0, 0, 0, 0, 24, 60, 36, 66, 66, 66, 66, 66, 66, 36, 66, 24, 66, 36, 66, 66, 66, 66, 36, 66, 24, 60, 0, 0, 0, 0}; //--07字模--// uchar code tab4[] = {0, 0, 0, 0, 0, 0, 24, 126, 36, 34, 66, 34, 66, 16, 66, 16, 66, 8, 66, 8, 66, 8, 66, 8, 36, 8, 24, 8, 0, 0, 0, 0};

16-16点阵LED显示汉字汇编语言

LED16X16点阵显示课程设计报告 学院 专业 班级 学生 指导老师

一、设计目的 本次课程设计目的剖析试验箱,利用微机接口芯片8255,并行控制LED点阵显示;其次就是掌握8088微机系统与LED点阵显示模块之间接口电路设计及编程,了解LED点阵显示的基本原理和如何来实现汉字的的循环左移显示。 二、设计容 利用598H试验系统扩展接口CZ7座,在控制板MC1上以并行通信的方式控制LED点阵显示。要求自建字库,编制程序实现点阵循环左移显示汉字,并要求通过protues仿真软件画出电路图,运行程序。 三、硬件电路设计 整个电路由8088CPU,两片8255,1个74ls373,1个74LS138,1个16×16的LED,5个7407。该电路可静态显示1个16*16位的汉字,也可循环显示。 1、8255 Intel8255A是一种通用的可编程序并行I/O接口芯片,又称“可编程外设接口芯片”,是为Intel8080/8085系列微处理据设计的,也可用于其它系列的微机系统。可由程序来改变其功能,通用性强、使用灵活。通过8255A,CPU可直接同外设相连接,是应用最广的并行I/O接口芯片。其中含3个独立的8位并行输入/输出端口,各端口均具有数据的控制和锁存能力。可通过编程设置各端口的工作方式和数据传送方向(入/出/双向)。 2、138译码器 译码器是组合逻辑电路的一个重要的器件,74LS138的输出是低电平有效,故实现逻辑功能时,输出端不可接或门及或非门,74LS138与前面不同,其有使能端,故使能端必须加以处理,否则无法实现需要的逻辑功能。发光二极管点亮只须使其正向导通即可,根据LED的公共极是阳极还是阴极分为两类译码器,即针对共阳极的低电平有效的译码器;针对共阴极LED的高电平输出有效的译码器。 3、373锁存器 74LS373是低功耗肖特基TTL8D锁存器,有8个相同的D型(三态同相)锁存器,由两个控制端(11脚G或EN;1脚OUT、CONT、OE)控制。当OE接地时,若G为高电平,74LS373接收由PPU输出的地址信号;如果G为低电平,则将地址信号锁存。工作原理:74LS373的输出端O0—O7可直接与总线相连。当三态允许控制端OE为低电平时,O0—O7为正常逻辑状态,可用来驱动负载或总线。当OE为高电平时,O0—O7呈高阻态,即不驱动总线,也不为总线的负载,但锁存器部的逻辑操作不受影响。当锁存允许端LE为高电平时,O随数据D而变。当LE为低电平时,O被锁存在已建立的数据电平。 4、LED 动态显示原理 LED点阵显示系统中各模块的显示方式:有静态和动态显示两种。静态显示原理简单、控制方便,但硬件接线复杂,在实际应用中一般采用动态显示方式,动态显示采用扫描的方式工作,由峰值较大的窄脉冲电压驱动,从上到下逐次不断地对显示屏的各行进行选通,同时又向各列送出表示图形或文字信息的列数据信号,反复循环以上操作,就可显示各种图形或文字信息。 点阵式LED绝大部分是采用动态扫描显示方式,这种显示方式巧妙地利用了人眼的视

单片机设计8X8LED点阵显示原理与编程技术

#i nclude unsigned char code taba[]={0xfe,0xfd,0xfb,0xf7,0xef,0xdf,0xbf,0x7f}; unsigned char code tabb[]={0x01,0x02,0x04,0x08,0x10,0x20,0x40,0x80}; void delay(void) { unsigned char i,j; for(i=10;i>0;i--) for(j=248;j>0;j--); } void delay1(void) { unsigned char i,j,k; for(k=10;k>0;k--) for(i=20;i>0;i--) for(j=248;j>0;j--); } void main(void) { unsigned char i,j; while(1) {

for(j=0;j<3;j++)//from left to right 3 time { for(i=0;i<8;i++) { P3=taba[i]; P1=0xff; delay1(); } } for(j=0;j<3;j++)//from right to left 3 time { for(i=0;i<8;i++) { P3=taba[7-i]; P1=0xff; delay1(); } } for(j=0;j<3;j++)//from top to bottom 3 time { for(i=0;i<8;i++) { P3=0x00; P1=tabb[7-i]; delay1(); } }

8×8LED点阵显示数字A到F

摘要 本文研究了基于AT89S51单片机LED8×8点阵显示屏的设计并运用PROTEUS软件进行原理图绘制,运用KEIL软件进行仿真和调试。主要介绍了LED8×8点显示屏的硬件电路设计、汇编程序设计与调试、PROTEUS软件绘制原理图和实物制作等方面的内容,本显示屏的设计具有体积小、硬件少、电路结构简单及容易实现等优点。能帮助广大电子爱好者了解点阵显示原理,认识单片机的基本结构、工作原理及应用方法,并提高单片机知识技术的运用能力。利用单片机来设计的系统,既能实现系统所需的功能,也可以满足计数的准确、迅速性,并且电路简单,操作简单,通用性强。

目录 1.绪论 (2) 1.1前言 (2) 1.2国内外的研究概况 (2) 2. 系统概述 (3) 3.课程设计目的 (3) 4.课程设计题目和任务 (3) 5.设计内容 (4) 5.1系统功能的描述 (4) 5.2 系统硬件设计 (4) 5.2.1 AT89S51芯片的介绍 (4) 5.2.2 单片机系统设计 (7) 5.2.3 单片机的发展趋势 (8) 5.2.4 时钟电路的设计 (9) 5.2.5 复位电路的设计 (9) 5.2.6驱动电路的设计 (10) 5.2.7 8×8LED点阵 (10) 5.3 计数器初值计算 (11) 5.4 字母A到F点阵显示代码的形成 (11) 5.5 程序流程图 (12) 5.6 源程序 (12) 6. 调试及性能分析 (13) 6.1系统调试 (13) 6.1.1软件调试 (13) 6.1.2硬件调试 (14) 6.2设计分析 (14) 7.设计总结 (14) 附件调试结果 (15) 参考书目 (16)

Lcd12864点阵液晶屏显示原理

https://www.doczj.com/doc/3f5232769.html, Lcd12864点阵液晶屏显示原理 Lcd12864,它就是128列+64行的阵列。每个型号的液晶模块都有它的一些参数,下面看下lcd12864显示的一些原理吧。 lcd12864,每个显示点对应一位二进制数,1表示亮,0表示灭。存储这些点阵信息的RAM称为显示数据存储器。要显示某个图形或汉字就是将相应的点阵信息写入到相应的存储单元中。图形或汉字的点阵信息当然由自己设计,问题的关键就是显示点在液晶屏上的位置与其在存储器中的地址之间的关系。 由于多数液晶显示模块的驱动电路是由一片行驱动器和两片列驱动器构成,所以12864液晶屏实际上是由左右两块独立的64*64液晶屏拼接而成,每半屏有一个512*8 bits 显示数据RAM。左右半屏驱动电路及存储器分别由片选信号CS1和CS2选择。 显示点在64*64液晶屏上的位置由行号(line,0~63)与列号(column,0~63)确定。512*8 bits RAM中某个存储单元的地址由页地址(Xpage,0~7)和列地址(Yaddress,0~63)确定。每个存储单元存储8个液晶点的显示信息。 为了使液晶点位置信息与存储地址的对应关系更直观关,将64*64液晶屏从上至下8等分为8个显示块,每块包括8行*64列个点阵。每列中的8行点阵信息构成一个8bits二进制数,存储在一个存储单元中。需要注意:二进制的高低有效位顺序与行号对应关系因不同商家而不同。 存放一个显示块的RAM区称为存储页。即64*64液晶屏的点阵信息存储在8个存储页中,每页64个字节,每个字节存储一列(8行)点阵信息。因此存储单元地址包括页地址(Xpage,0~7)和列地址(Yaddress,0~63)。 例如点亮128*64的屏中(20,30)位置上的液晶点,因列地址30小于64,该点在左半屏第29列,所以CS1有效;行地址20除以8取整得2,取余得4,该点在RAM中页地址为2,在字节中的序号为4;所以将二进制数据00010000(也可能是00001000,高低顺序取决于制造商)写入Xpage=2,Yaddress=29的存储单元中即点亮(20,30)上的液晶点。 1

AT89C52控制的8×8点阵LED字符显示器的设计(1)

目录 第1章:引言 (2) 第2章:方案论证 (3) 2.1:方案选择 (3) 2.2:单片机最小系统设计 (3) 2.3:按键及接口设计 (5) 2.4:显示及接口设计 (5) 2.5:驱动电路的设计 (7) 2.6:电源电路的设计 (7) 第3章:8×8点阵显示器控制系统的硬件设计 (8) 3.1:硬件系统的总体设计 (8) 3.2:单片机AT89C52的分析 (8) 3.3:具体电路及功能分析 (11) 3.4:8×8点阵显示电路原理图 (11) 第4章:8×8点阵控制系统的软件设计 (12) 4.1:软件总体设计及功能的描述 (12) 4.2:单片机系统资源分配 (12) 4.3:软件主程序和显示程序流程图 (13) 第5章:样品的制作与调试 (14) 5.1:原材料的选择与采购 (14) 5.2:印刷电路板的设计与制作 (14) 5.3:单片机的测试 (15) 5.4:硬件及软件的调试 (15) 5.5:整机的测试与调试 (15) 第6章:使用说明书 (16) 第7章:后记 (16) 参考文献 (17) 附录1:源程序 (18)

AT89C52控制的8×8点阵LED字符显示器的设计 摘要:本系统设计思路是:利用单片机对整个系统进行总体控制,进行显示所要显示的字符。显示方式分为三种:逐字显示、上滚显示、左滚显示,其中显示字模数据由单片机输入显存,点阵的点亮过程有程序控制,由驱动电路完成,点阵采用单色显示,该显示器电路的特点是:点阵的动态显示过程占用时间比较短,亮度比较高,而且亮度可以改变电阻进行调节。 关键词:LED点阵;嵌入式系统;单片机;显存; Abstract:This design intent to take 8052 single chip to control entire system generally. The display word-module data is input by single chip to display memory. The lightening process is accomplished by other circuit ( programme and drive) automatically. Dynamic display process engross a little of the time . The lighting is able to be adjusted by changing the resistance . The lighting ways: single , rolling from down , rolling from right. Key words:LED lattice;embedded system;single chip;disply memory 第1章引言 当今世界,电子技术迅猛发展,点阵式显示器件作为现代信息显示的重要媒体,在金融证券、体育、机场、交通、商业、广告宣传、邮电电信、指挥调度、国防军事等许多领域中得到了广泛应用。因此点阵式显示器件的研制、生产也的到了迅速的发展,并逐步形成产业,成为光电子行业的新兴产业领域。目前,点阵式显示器件具体包括LED显示模块和LCD显示模块等。现在发展的LCD比较先进,LCD的优点较为明显,他体积小,容易控制,功能强,价格适宜,能够适应显示器的发展方向,因而在通信、家电、大屏幕投影等领域得到了越来越广泛的应用;随着社会经济的迅猛发展,工业生产逐渐实现了自动化,其中,设备的工作状态和生产过程状态的显示与监控起到了非常重要的作用,对于那些需要显示的信息量不是很大,分辨率不是很高,又需要制造成本相对比较低的场合,使用大、小屏幕LED点阵显示器是比较经济适用的,他可以显示字符、数字、汉字和简单图形,可以根据需要使用不同字号、字型,显示亮度较高,并且对环境条件要求比较低。LED显示又可以分为单色显示和双色显示,可以按照需要的大小、形状和颜色进行组合,并用单片机控制实现各种文字或图形的变化,达到宣传和提示的目的。据不完全统计,1991年,全国LED显示屏的产值还不到亿元人民币,而在1993年,仅蓝通公司一家企业的显示屏产值即达1亿多人民币。

点阵显示器的扫描原理

点阵显示器的扫描原理 8X8点阵 P2口做传送数据端口P0做行扫描(两者可以互换) (图中二极管方向须反向) 如上图P0口从P0.7到P0.0做扫描P0口数据为0X80 二进制数据为10000000 用右移函数_cror_(P0,1) 即可实现扫描使高电位从高位依次移动到低位实现逐行扫描当P0扫描第一行时即数据为10000000 P2口从P2.0~P2.7 送入第一行要点亮的灯这里低电位为选中(被点亮)高电位为不选中(处于熄灭状态)比如P2口数据为01110011即图中左上角第一位第五位第六位被点亮如果取字模阴取法即可显示出字的上面一行的点依次向下右移一位P2口取第二行要显示的点…………至到第八行整个字的字模码全被点亮一次利用人眼的惰性如果P0口P2口扫描的速度足够快>25HZ 即可看到整个屏被点亮的字模即是所要显示的字型由于LED灯点亮须要一定的电流所以通电的时间长短会影响其亮度扫描速度过快亮度会变低扫描太慢人眼会感到有闪烁感。(追求刚刚好的扫描速度正是LED显示屏显示的关键) 关于向上移动显示 在上面的静止显示的基础上加入移动代码即可实现上移(且以P0口称为行扫描,P2口为点阵显示码) 由于P0口从上往下扫描当第一次扫描完一个字后做N个循环后(这里的N指的是扫描次数因为人眼的观看速度所以还是要停留一会儿)第二次扫描P2口P2.0取第2个码依次到P2.7 取第八个码做N 个循环后再加1……至到将数组中的所有字码全部取出用到的代码如下

Main() { P0=0x80;//定义P0口初始化的值为1000 0000 For(i=0;i<48;i++) //此句中的48为字码的个数减8 For(N=0;N<20;N++)//每取一次码之后循环次数也可称为移动速度 { P2=tab[i+N];// P2口所选字码 Delay(300);// 延时函数(也是调整扫描频率的数值) P0=_cror_(P0,1) 右移函数实现扫描 }//此三句在第二个FOR中循环20次20是一般取值也是调整移动速度的数值. } 向下移动 在静止显示的基础上改变扫描顺序再改变字码的排列顺序就可实现 扫描顺序从P0.0到P0.7扫描在第次扫描中也就是第八行P2口的点阵通过算法P2口可以得到数组的第八个元素其算法为通过一个缓存字符串 For(a=0;a<6;a++) For(b=0;b<8;b++) Buffer[a*8+b]=TAB[a*8+7-b] 该算法实现的重新排列的数组为Buffer[0]= TAB[7] Buffer[1]=TAB[6] …… Buffer[8]=TAB[15] Buffer[11]=TAB[12] 位对应 07 1 6 2 5 3 4 4 3 5 2 6 1 70 815 914 1013 1112 1211 1310 149

LED点阵显示数字到修订稿

L E D点阵显示数字到集团档案编码:[YTTR-YTPT28-YTNTL98-UYTYNN08]

单片机技术 课程设计说明书设计课题:8×8 点阵 专业(系)电气学院 班级 学生姓名 指导老师 完成日期

目录

1.课程设计目的 (1)巩固和提高学过的基础知识和专业知识。 (2)提高运用所学的知识进行独立思考和综合分析、解决实际问题的能力。 (3)培养掌握正确的思维方法和利用软件和硬件解决实际问题的基本技能。 (4)增加对单片机的认识,加深对单片机理论方面的理解。 (5)掌握单片机的内部功能模块的应用,如定时器/计数器、中断、片内外存贮器、I/O口、串行口通讯等。 (6)熟练掌握汇编语言的编程方法,将理论联系到实践中去,提高我们的动脑和动手的能力。 2.课程设计题目和要求 (1)课程设计题目:单片机控制的跑马灯设计 (2)要求:利用8×8LED点阵显示数字0到9 3.设计内容 系统功能的描述 用单片机控制8×8LED点阵滚动显示数字0到9,利用硬件与软件相结合的方法,通过单片机将数字的代码分别送到相应的列线上面,经过软件编程使二极管从0到9依次显示数字,如此循环。

系统硬件设计 图1 系统框图 显示的硬件方式采用以AT89S51单片机为核心的电路来实现,主要由 AT89S51芯片、时钟电路、复位电路、驱动电路、8×8LED点阵5部分组成,系统框图如图1所示。 AT89S51芯片的介绍 (1)I/O端口线输入输出引脚 —(39—32):P0口食一个漏极开路型准双向I/O口。在访问外部存储器时,它是分时多路转换地址(低8位)和数据总线,在访问期间激活了内部内部的上拉电阻,在E—PROM编程时,它接受指令字节,而在验证程序时,则输出指令字节。 —(1—8):P1口是带内部上拉电阻的8位双向I/O口。 —(21—28):P2口是一个内部带上拉电阻的8位双向I/O口。在访问外部存储器时,它送出高8位地址。 —(10—17):P3口是一个内部带上拉电阻的8位双向I/O口。在MCS—51中,这8个引脚还兼有专用功能,P3的8条口线都定义有第二功能,其具体功能如表1所示。 表1 P3口的第二功能

8×8LED点阵屏显示数字(韩余)详解

8×8LED点阵屏显示数字(韩余)详解

目录 1 设计目的 (1) 1.1设计目的 (1) 1.2设计内容和要求 (1) 1.3设计思路 (1) 2 设计原理分析 (2) 2.1定时器控制8×8LED点阵屏显示数字系统设计 (2) 2.2定时器控制8×8LED点阵屏显示数字系统的功能要求 (2) 2.2.1计时显示 (2) 2.2.2中断设置 (2) 2.38×8LED点阵屏显示数字系统的基本构成及原理 (2) 3 系统硬件电路的设计 (3) 3.1系统硬件总电路构成及原理 (3) 3.2主控制部分――AT89C51单片机简介 (3) 3.2.1 AT89C51的内部结构功能 (4) 3.2.2 51单片机的串行接口工作方式 (5) 3.3其它器件 (6) 3.4定时器控制8×8LED点阵屏显示数字系统原理图 (7) 3.5设计的连线图: (8) 3.5.1单片机实物图: (8) 3.6硬件资源及其分配 (8) 3.7运行步骤 (8) 3.8检测与调试 (9) 3.8.1硬件调试: (9) 3.8.2软件调试: (9) 4 系统软件程序的简单设计 (10) 4.1程序框图 (10) 4.2程序流程图及程序 (11) 4.2.1程序流程图: (11) 4.2.2程序清单: (12) 4.2.3仿真结果图: (14) 结论 (15) 参考文献 (16)

1 设计目的 1.1设计目的 1、通过单片机课程设计,熟练掌握C语言的编程方法,将理论联系到实践中去,提高我们的动脑和动手的能力。 2、通过8×8LED点阵屏显示数字系统的设计,掌握数码管的使用方法,和简单程序的编写,最终提高我们的逻辑抽象能力。 1.2设计内容和要求 内容:设计一个8×8LED点阵屏显示数字。 要求:利用单片机的中断系统,令8×8LED点阵屏循环显示数字0—9。 1.3 设计思路 1.先熟悉实验原理,了解8×8LED点阵屏显示数字的工作过程,以及所需要的组件。 2.通过单片机的各个引脚的输出控制8×8LED点阵屏显示数字。 3.绘制电路原理图,编写程序,并进行仿真,基本实现8×8LED点阵屏显示数字。

8X8_LED点阵显示原理与编程技术

8X8 LED 点阵显示原理与编程技术 1 .实验任务 在8X8 LED点阵上显示柱形,让其先从左到右平滑移动三次,其次从右到左平滑移动三次,再次从上到下平滑移动三次,最后从下到上平滑移动三次,女口此循环下去。 2. 实验目的 掌握用单片机I/O 口进行LED点阵扫描显示。 3. 实验工具 PROTEUS6.9, Keil uVisio n2 软件 4. 原理图 5. 相关知识 1) LED点阵 八十年代以来出现了组合型IED点阵显示器,以发光二极管为像素,它用高亮度发光二极管芯阵列组合后,环氧树脂和塑模封装而成。具有高亮度、功耗低、引脚少、视角大、寿命长、耐湿、耐冷热、耐腐蚀等特点。点阵显示器有单色和双色两类,可显示红,黄,绿,橙等。LED点阵有4X 4、4X 8、5X 7、5X & 8 X & 16X 16、24X 24、40X 40 等多种; 根据像素的数目分为等,双基色、三基色等,根据像素颜色的不同所显示的文字、图象等内容的颜色也不同,单基色点阵只能显示固定色彩如红、绿、黄等单色,双基色和三基色点阵显示内容的颜色由像素内不同颜色发光二极管点亮组合方式决定,如红绿都亮时可显示黄色,如果按照脉冲方式控制二极管的点亮时间,则可实现256或更高级灰度显示,即可实现真彩色显示。图1--5示出几种LED点阵显示器的内部电路结构和外型规格,其它型号点阵的结构与引脚可试验 获得。 2) LED点阵扫描驱动方案: 由LED点阵显示器的内部结构可知,器件宜采用动态扫描驱动方式工作,由于 見珈乩工柞膏 hnp: WTieKiin r cortvjianc aLlic ng oooGofrc -0 口 c-d

16-16点阵LED显示汉字总汇编语言

LED16X16点阵显示 课程设计报告 学院 专业 班级 学生姓名 指导老师 二 0一0年十二月 一、设计目的 本次课程设计目的剖析试验箱,利用微机接口芯片8255,并行控制LED点阵显示;其次就是掌握8088微机系统与LED点阵显示模块之间接口电路设计及编程,了解LED点阵显示的基本原理和如何来实现汉字的的循环左移显示。

二、设计内容 利用598H试验系统扩展接口CZ7座,在控制板MC1上以并行通信的方式控制LED点阵显示。要求自建字库,编制程序实现点阵循环左移显示汉字,并要求通过protues仿真软件画出电路图,运行程序。 三、硬件电路设计 整个电路由8088CPU,两片8255,1个74ls373,1个74LS138,1个16×16的LED,5个7407。该电路可静态显示1个16*16位的汉字,也可循环显示。 1、8255 Intel8255A是一种通用的可编程序并行I/O接口芯片,又称“可编程外设接口芯片”,是为Intel8080/8085系列微处理据设计的,也可用于其它系列的微机系统。可由程序来改变其功能,通用性强、使用灵活。通过8255A,CPU可直接同外设相连接,是应用最广的并行I/O接口芯片。其中含3个独立的8位并行输入/输出端口,各端口均具有数据的控制和锁存能力。可通过编程设置各端口的工作方式和数据传送方向(入/出/双向)。 2、138译码器 译码器是组合逻辑电路的一个重要的器件,74LS138的输出是低电平有效,故实现逻辑功能时,输出端不可接或门及或非门,74LS138与前面不同,其有使能端,故使能端必须加以处理,否则无法实现需要的逻辑功能。发光二极管点亮只须使其正向导通即可,根据LED的公共极是阳极还是阴极分为两类译码器,即针对共阳极的低电平有效的译码器;针对共阴极LED的高电平输出有效的译码器。 3、373锁存器 74LS373是低功耗肖特基TTL8D锁存器,内有8个相同的D型(三态同相)锁存器,由两个控制端(11脚G或EN;1脚OUT、CONT、OE)控制。当OE接地时,若G为高电平,74LS373接收由PPU输出的地址信号;如果G为低电平,则将地址信号锁存。工作原理:74LS373的输出端O0—O7可直接与总线相连。当三态允许控制端OE为低电平时,O0—O7为正常逻辑状态,可用来驱动负载或总线。当OE为高电平时,O0—O7呈高阻态,即不驱动总线,也不为总线的负载,但锁存器内部的逻辑操作不受影响。当锁存允许端LE为高电平时,O随数据D而变。当LE为低电平时,O被锁存在已建立的数据电平。 4、LED 动态显示原理 LED点阵显示系统中各模块的显示方式:有静态和动态显示两种。静态显示原理简单、控制方便,但硬件接线复杂,在实际应用中一般采用动态显示方式,动态显示采用扫描的方式工作,由峰值较大的窄脉冲电压驱动,从上到下逐次不断地对显示屏的各行进行选通,同时又向各列送出表示图形或文字信息的列数据信号,反复循环以上操作,就可显示各种图形或文字信息。 点阵式LED绝大部分是采用动态扫描显示方式,这种显示方式巧妙地利用了人眼的视觉暂留特性。将连续的几帧画面高速的循环显示,只要帧速率高于24帧/秒,人眼看起来就是一个完整的,相对静止的画面。最典型的例子就是电影放映机。在电子领域中,因为这种动态扫描显示方式极大的缩减了发光单元的信号线数量,因此在LED显示技术中被广泛使用。 以8×8点阵模块为例,说明一下其使用方法及控制过程。图2.1中,红色水平线Y0、Y1……Y7叫做行线,接内部发光二极管的阳极,每一行8个LED的阳极都接在本行的行线上。相邻两行线间绝缘。同样,蓝色竖直线X0、X1……X7叫做列线,接内部每列8个LED 的阴极,相邻两列线间绝缘。

相关主题
文本预览
相关文档 最新文档