当前位置:文档之家› 模拟电路实验指导书

模拟电路实验指导书

模拟电路实验指导书
模拟电路实验指导书

目录

实验一、常用电子仪器的使用 (1)

实验二、晶体二极管的特性与检测 (4)

实验三、晶体三极管的输入、输出特性 (4)

实验四、晶体管共射极单管放大器 (5)

实验五、射极耦合触发器 (6)

实验六、RC耦合两极放大器 (7)

实验七、负反馈对放大器性能的影响 (7)

实验八、场效应管放大器 (8)

实验九、差动放大电路 (11)

实验十、集成运算放大器指标测 (14)

实验十一、集成运算放大器的基本应用(多种模拟运算电路) (18)

实验十二、集成运算放大器非线性应用(波形发生器) (20)

实验十三、LC正弦波振荡器 (22)

实验十四、变压器耦合推挽功率放大器 (24)

实验十五、OTL功率放大器 (25)

实验十六、集成功率放大器 (28)

实验十七、单相半波、全波、桥式整流电路 (28)

实验十八、串联型晶体管直流稳压电源(设计性实验) (29)

实验十九、集成直流稳压电源 (32)

实验二十、单结晶体管特性 (33)

实验二十一、单结晶体管触发电路 (34)

实验二十二、晶闸管简单测试 (34)

实验二十三、晶闸管可控稳压电路(综合性实验) (35)

实验二十四、万用表的设计与调试 (36)

实验二十五、电子门铃电路——趣味性实验一 (40)

实验二十六、电子报警电路——趣味性实验二 (40)

实验二十七、光控简易灯自动开关电路——趣味性实验三 (41)

实验二十八、电子催眠器——趣味性实验四 (41)

实验二十九、简单的温控电路 (41)

实验一常用电子仪器的使用

一、实验目的

1、学习电子电路实验中常用的电子仪器——示波器、信号发生器、交流毫伏表、数字频率计等的主要技术指标、性能及正确使用方法。

2、初步掌握用双踪示波器观察正弦信号波形和读取波形参数的方法。

二、实验原理

在模拟电子电路实验中经常使用的电子仪器有示波器、信号发生器、交流毫伏表及数字频率计等。它们和万用表一起,可以完成对模拟电子电路的静态和动态工作情况的测试。

实验中要对各种电子仪器进行综合使用,可按照信号流向。以连线简捷调节顺手,观察与读数方便等原则进行合理布局。接线时应注意,为防止外界干扰,各仪器的公共接地端应连接在一起,称共地。信号源和交流毫伏表引线通常用屏蔽线或专用电缆线,示波器接线使用专用电缆线,直流电源的接线用普通导线。

1、双踪示波器

以SR8双踪示波器为例,其原理和使用可见说明书,现着重指出下列几点:

(1)寻找扫描光迹点

在开机半分钟后,如仍找不到光点,可调节亮度旋钮,关下“寻迹”板键,从中判断光点位置,然后适当调节(?)和水平(?)移位旋钮,将光点移到荧光屏的中心位置。

(2)为显示稳定的波形,需注意SR8示波器面板上的下列各控制开关(或旋钮)的位置。

a、“扫描速度”开关(t/div)——它的位置应根据被观察信号的周期来确定。

b、“触发源选择”开关(内、外)——通常选为内触发。

c、“内触发源选择”开关——通常置于常态(推进位置)。此时对单一从Y A或Y B输入的信号均能同步,仅在作双路同时显示时,为比较两个波形的相对位置,才将其置于拉出(拉Y B)位置,此时触发信号仅取自Y B,故仅对Y B输入的信号同步。

d、“触发式开关”——通常可先置于“自动”位置,以便找到扫描线或波形,如波形稳定情况较差,再置于“高频”或“常态”位置,但必须同时调节电平旋钮,使波形稳定。(3)、示波器有五种显示方式

属单踪显示有“Y A”“Y B”“Y A+Y B”;属双踪显示有“交替”与“断续”。作双踪显示时,通常采用“交替”显示方式。仅当被观察信号频率很低时(如几十赫芝以下),为在一次扫描过程中同时显示两个波形,才采用“断续”方式。

(4)、在测量波形的幅值时,应注意Y灵敏度“微调”旋钮置于“校准”位置(顺时钟旋到底)。在测量波形周期时,应将扫描速率“微调”旋钮置于“校准”位置(顺时钟旋到底),扫描速率“扩展”旋钮置于“推进”位置。

2、信号源

输出正弦波、方波、锯齿波等;

输出电压幅值15V;

输出电压频率5H Z~550KH Z。

3、交流毫伏表

测量正弦波交流电压;

工作频率范围:1H Z~2MH Z

工作电压范围:1mV~200V

测量前应先把量程开关置较大量程档位,然后调零,并逐渐减小量程档位。

三、实验仪器及器件

1、信号发生器

2、SR8双踪示波器(此型号仅作参考,用户也可选其他型号示波器)

3、交流毫伏表

4、数字频率计

四、实验内容

1、测量示波器内的校准信号

用机内校准信号(方波f=1KH Z±2%),电压幅度(1V±30%)对示波器进行自检。(1)调出波形

a、将示波器校准信号输出端通过专用电缆与Y A(或Y B)输入插口接通,调节示波器各有关旋钮,将触发方式开关置“自动”位置,触发源选择开关置“内”,内触发选择开关置常态,对校准信号的频率和幅值正确选择扫描速度开关(t/div)及Y轴灵敏度开关(V/div)位置,则在荧光屏上可显示出一个或数个周期的方波。

b、分别将触发方式开关置“高频”和“常态”位置,并同时调节触发电平旋钮,调出稳定波形,体会三种触发方式的操作特点。

(2)、校准“校准信号”幅度

将Y轴灵敏度微调旋钮置“校准”位置,Y轴灵敏度开关置适当位置,读取校准信号幅度,记入表1-1中。

表1-1

将扫描微调旋钮置“校准”位置,扫描开关置适当位置,读取校准信号周期,并用数字频率计进行校核,记入表1-1中。

(4)、测量校准信号的上升时间和下降时间

调节“Y轴灵敏度”开关位置及微调旋钮,并移动波形,使方波波形在垂直方向上正好占据中心轴上,且上、下对称,便于阅读。通过扫速开关逐级提高扫描速度,使波形在X 轴方向扩展(必要时可以利用“扫速扩展”开关将波形再扩展10倍),并同时调节触发电平旋钮,从荧光屏上清楚的读出上升时间和下降时间,记入表1-1中。

2、测量信号源输出电压波形及频率

令信号源输出的频率分别为100H Z、1KH Z、10KH Z、100KH Z(数字频率计测量值),有效值均为1V(交流毫伏表测量值)。

改变示波器扫速开关及Y轴灵敏度开关位置,测量信号源输出电压频率及峰峰值,记入表1-2中。

表1-2

(1)、观察双踪显示波形“交替”与“断续”两种显示方式的特点。

Y A 、Y B 均不加输入信号,扫速开关置扫速较低档位(如0.5s/div 档)和扫速较高档位(如5μs/div 档),把“显示方式”开关分别置于“交替”和“断续”位置,观察两条扫描线的显示特点,记录之。 (2)、用双踪显示测量两波形间相位关系

①、按图1-1连接实验电路,将信号源的输出电压调至频率为1KH Z ,幅值为2V ,经RC 移相网络获得频率相同但相位不同的两路信号u i 和u R ,分别加到示波器的Y A 和Y B 输入端。

图1-1

②、把显示方式开关置“交替”档位,将Y A 和Y B 输入耦合方式开关置“┴”档位,调节Y A 、Y B 的↑↓移位旋钮,使两条扫描基线重合,再将Y A 、Y B 输入耦合方式开关置“AC ”档位,调节扫速开关Y A 、Y B 灵敏度开关位置,同时将内触发源选择(拉Y B )开关拉出,此时在荧光屏上将显示出u i 和u r 两个相位不同的正弦波形,则两波形相位差为

=

Q ??360)

div (X )div (T x

式中:T X —— 一周其所占刻度片格数 x —— 两波形在x 轴方向差距格数 记录两波形相位差于表1-3中。 表1-3 五、实验报告

1、整理实验数据,并进行分析。

2、问题讨论

(1)、SR8采用“高频”、“常态”、“自动”三种触发方式有什么区别?通过实验对它们的操作特点及适用场合加以总结。 (2)、分析内触发源选择开关置于常态和拉Y B 时,稳定不同输入通道(Y A 和Y B )波形的影响。 (3)、用双踪显示波形,并要求比较相位时,为在荧光屏上得到稳定波形,应怎样选择下列开关的位置?

a 、显示方式选择(Y A ;Y B ;Y A +Y B ;交替;断续)

b 、触发方式(高频;常态;自动)

c 、触发源选择(内;外)

d 、内触发源选择(常态;拉Y B )

六、预习要求

1、阅读有关示波器部分内容。

2、阅读电子学实验装置的功能及使用方法说明。

实验二晶体二极管的特性与选择

一、实验目的

1、了解二极管外形与封装。

2、测试二极管的伏安特性。

二、内容与步骤

1、测正向特性,按图2-1连接电路,P V用万用表2.5V档,二极管用2AP,电流表选用数字电流表直流档。

将RP2和作限流用的RP1阻值调至最大位置,稳压电源输出电压调至5V,合上开关,分别调节RP1和RP2,观察不同正向电压UF时流过二极管的电流和该管两端的电压PV。

+ -

+

图2-1 图2-2

2、测反向特性,按图2-2连线。

从输出电压0V开始,按3V间隔调高电源电压至15V,观测不同反向电压时反向电流,

UA表选量程100uA直流电表。

实验三晶体三极管的输入、输出特性

一、实验目的

1、了解三极管的外形与封装。

2、测试三极管输入、输出特性。

二、实验内容与步骤

1、按图3-1接线,V1、V2用数字电压表直流档测量,微安表用100uA表头,三极管用3DG6。

+ -

GB

~12V

图3-1

2、将RP1调至最低位置,GB1=3V,GB2=0V加入电路,调节RP1使V1逐渐升高,观测对应微安表的值。

3、将GB2调至3V,调节RP2使电压表指示U CE=3V,重复2。

4、将RP1调至微安表Ib为零,然后由U CE=0V开始至12V观测I C。

5、使Ib为20、40、80、100uA时,重复步骤4。

6、自行设计表格记录测试数据。

实验四晶体管共射极单管放大器

一、实验目的

1、学会放大器静态工作点的调试方法,分析静态工作点对放大器性能的影响。

2、掌握放大器电压放大倍数测试方法。

3、熟悉常用电子仪器及实验台的使用。

二、实验内容与步骤

1、测量静态工作点

接通电源前,先将RP调到最大,信号源输出旋钮旋至零。接通+12V电源,调节RP 使I C=2.0mA(即UE=2.0V),用数字电压表直流档测量U B、U E、U C,断开电源用万用表测量RB2值,记入表4-1中:

表4-1 I

在放大器输入端加入频率为1KH Z的正弦信号U S,调节信号源的输出旋钮使U i=10mV,同时用示波器观察放大器输出电压U0的波形,在波形不失真的条件下用交流毫伏表测量下述三种情况下的U0值,并用示波器同时观察U0和U i的相位关系,把结果记入表4-2中:

置RC=2.4K,RL=∞,u i适中,调节RP,用示波器监视输出的电压波形,在U0不失真的条件下,测量数组I C和U0,记入表4-3中(测量I C时,要先将信号源输出旋钮至零,即使U i=0)。

表4-3 I

4、观察静态工作点对输出波形失真的影响

置RC= 2.4KΩ,RL=2.4KΩ,u i= 0,调节RP使I C=2.0 mA,测出U CE值,再逐步加大输入信号,使输出电压的u0足够大但不失真。然后保持输入信号不变,分别增大和减小RP,使波形出现失真,绘出u0的波形,并测出失真情况下I C和U CE值,把结果记入表4-4中,每次测I C和U CE值时都要将信号源的输出旋钮至零。

表4-4 R

置RC= 2.4KΩ,RL=2.4KΩ,按照实验步骤4中所述方法,同时调节输入信号的幅度和电位器RP,用示波器和交流毫伏表测量U OPP和U0,记入表4-5中:

表4-5 R C=2.4K RL=2.4K

L

图4-1

实验五射极耦合触发器

一、实验目的

通过实验进一步了解启动电压U P1与释放电压U P2的意义以及波形变换作用。

二、实验仪器

示波器、音频信号发生器、稳压电源、万用表。

三、实验步骤

1、按图5-1装接线路。

图5-1

2、确认电路无误后,接通电源进行静态测试。调节RP,使电路处于V1截止、V2饱和的状态。然后徐徐变动RP,使U b1电压升高,直至V2由饱和导通转变为截止,这时U b1会发生较小的下跌现象,下跌前的U b1电压数值即为启动电压U P1。之后,再把U b1由高逐渐降低,直至U2由截止转变为导通。这时测量U b1所得的电压也会从慢慢下降突然有较小的上升现象,上升前的电压值即为释放电压U P2。所以回差:ΔU T=U P1﹣U P2

3、动态测试

(1)、分别将V1的静态基极电压U P1Q调在U b1Q>U P1、U b1Q U b1Q> U P2。(2)、将音频信号电压加在ui端,用示波器观察输入信号电压的波形和输出电压波形及幅值,记录下来并与计算值比较。

四、实验讨论

当U P1>U b1Q>U P2时电路进行波形变换。如果当U b1QU P1时,电路也能进行波形变换,这种现象说明了什么?如上述两种情况下,波形不再变换,这时又说明了什么?

实验六RC耦合两极放大器

一、实验目的

1、学会RC耦合两级放大器的安装与调试。

2、掌握多级放大器增益的测量和计算。

二、实验内容与步骤

1、按图6-1接线,V1、V2选3DG6,校对电路无误后接通电源。

2、调节W1、W2使IC1和IC2分别为1mA左右,测量发射极电压约为3V,然后测试V1、V2的VE、VBE、VC。

3、把f=1KHZ电压为10mA的正弦波信号输入,用示波器观察V1集电极A点输出波形,调节W1使波形最大而不失真。将示波器探头接至B点,观察波形,调节输入信号及W2,使波形最大而不失真,用毫伏表测量输入端Ui和A、B两端的电压。

4、自行设计记录表格,记录实验数据。

图6-1

实验七负反馈对放大器性能的影响

一、实验目的

加深理解放大电路中引入负反馈的方法和负反馈对放大器各项性能指标的影响。

二、实验内容

1、按图7-1接线,经查无误后接通电源。

2、调整直流工作点,将输入端(1)和地短接,调整R P使V2的IC2和V1管的IC1近似相等(约1.5mA)。

3、研究反馈对放大器倍数的影响,将输入信号f调至1KHZ,衰减60dB后使电压Ui=2 mV,负载接3.3K,观察负载波形,若有失真调节R P。将开关S置于“2”,用毫伏表测出U O,然后将开关置于1(加负反馈),测出U O'。结果填入表7-1:

表7-1

图7-1

4、观察负反馈对放大器非线性失真的影响:将开关S 置于“2”,调整放大器输入信号Ui ,由示波器观察输出信号U O 波形,直至U O 将要失真,记下此时Ui 和 U O ;再将开关S 置“1”增大输入信号Ui ,当输出电压达到U O 值时(示波器监视U O 波形)记下此时输入信号Ui 值,最后保持Ui '不变,将开关重新置“2”,观察输出信号波形变化情况,将上述结果记入表7-2:

表7-2 5、研究负反馈对放大器放大倍数稳定性的影响:改变电源电压,让直流稳压电源输出从

12V 到9V ,分别测量放大器在同样输入电压Ui =2毫伏,开关S 置“2”和置“1”时的输出电压,并按下式计算两种状态下放大器放大倍数的相对变化值,填入表7-3中:

表7-2

实验八 场效应管放大器

一、实验目的

1、了解结型场效应管的性能和特点。

2、进一步熟悉放大器动态参数的测试方法。

二、实验原理

场效应管是一种电压控制型器件。按结构可分为结型和绝缘栅两种类型。由于场效应管栅源之间处于绝缘或反向偏置,所以输入电阻很高(一般可达上百兆欧)又由于场效应管是

一种多数载流子控制器件,因此热稳定性好,抗辐射能力强,噪声系数小。加之制造工艺较简单,便于大规模集成,因此得到越来越广泛应用。 1、结型场效应管的特性和参数

场效应管的特性主要有输出特性和转移特性。图8-2所示为N 沟道结型场效应管3DJ6F 的输出特性和转移曲线。其直流参数主要有饱和漏极电流I DSS ,夹断电压U P 等;表8-1列出了3DJ6F 的典型参数值及测试条件。

表8-1

2、场效应管放大器性能分析

图8-1为结型场效应管组成的共源级放大电路。其静态工作点 U GS = U G ﹣U S =

S D DD g2

g1g1R I U R R R ?-+;

I D = I DSS (1﹣

P

GS U U )2

中频电压放大倍数 A U =﹣g m R L '=﹣g m R D ‖R L 输入电阻 r i =R G ﹢R g1‖R g2 输出电阻 r o ≈ R D

式中跨导g m 可由特性曲线用作图法求得,或用公式g m =﹣

P

DSS U 2I (1﹣

P

GS U U )计算。

但要注意,计算时U GS 要用静态工作点处之数值。 3、输入电阻的测量方法

场效应放大器的静态工作点、电压放大倍数和输出电阻的测量方法,与实验二中晶体管放大器的测量方法相同。其输入电阻的测量,从原理上讲,也可采用实验二中所述方法,但由于场效应管的r i 比较大,如直接测输入电压U S 和U i ,则限于测量仪器的输入电阻有限,必然会带来较大的误差。因此为了减少误差,常利用被测放大器的隔离作用,通过测量输出电压U O 来计算输入电阻。测量电路如图8-3所示。在放大器的输入端串入电阻R ,把开关K 掷向位置1(即使R=0),测量放大器的输出电压U 01 = A U ·U S ;保持U S 不变,再把K 掷向2(即接入R ),测出放大器的输出电压U 02。由于两次测量中A U 和U S ,保持不变,故

U 02 = A U ·U i =

U s i

i A U r R r ?+ 由此可以求出

r i =

R U U U 2

01002?-

式中R 和r i 不要相差太大,本实验可取R=100~200K Ω。

三、实验内容

U

DS

图8-1 图8-2

1、静态工作点的测量和调整

按图8-1连接电路,接通+12V 电源,用数字表直流电压档测量U G 、U S 和U D ,检查静态工作点是否在特性曲线放大区的中间部分(参阅图8-2),若不合适,则适当调整R g2 和R S ,调好后,再测出U G 、U S 和U D ,记入表8-2中:

表8-2

(1)、Au 和ro 测量

在放大器的输入端加入f =1K H Z 的正弦信号Ui (约50~100mV ),并用示波器监视输出电压Uo 的波形。在输出电压Uo 没有失真的条件下,用交流毫伏表分别测量RL =∞和RL =10K Ω的输出电压Uo (注意:保持Ui 不变),记入表8-3:

表8-3

图8-3

(2)、输入电阻的测量

按图8-3改接实验电路,选择大小合适的输入电压U S (约50~100mV ),将开关K 掷向

“1”,测出R =0时的输出电压U 01,然后将开关掷向“2”(接入R ),保持U S 不变,再测出U 02,根据公式:R U U U ri ?-=

02

0102求出ri ,把结果记入表8-4:

表8-4

实验九 差动放大电路

一、实验目的

1、加深对差动放大电路性能及特点的理解。

2、学习差动放大电路主要性能指标的测试方法。 二、实验原理

图9-1是差动放大器的基本结构。它由两个元件参数相同的基本共射放大电路组成。当开关K 拨向左边时,构成典型的差动放大器。调零电位器RP 用来调节V 1、V 2管的静态工作点,使得输入信号U i =0时,双端输出电压Uo=0。R E 为两管共用的发射极电阻,它对差模信号无负反馈作用,因而不影响差模电压放大倍数,但对共模信号有较强的负反馈作用,故可以有效地抑制零漂,稳定静态工作点。

当开关K 拨向右边时,构成具有恒流源的差动放大器,用晶体管恒流源代替发射极电阻R E ,可以进一步提高差动放大器抑制共模信号的能力。 1、静态工作点的估算

典型电路 E I ≈

E

BE

EE R

U U -(认为B2B1U U =≈0)

E C2C1I 2

1I I =

=

恒流源电路 C3I ≈E3I ≈

E3

BE

EE CC 2

12

R U )U (V R R R -++

C3C2C1I 2

1I I =

=

2、差模电压放大倍数和模电压放大倍数

当差动放大器的射极电阻R E 中够大,或采用恒流源电路时,差模电压放大倍数A d 由输出端方式决定,而与输入方式无差。

双端输出 P R ,R E ∞=在中心位置

P

B0B1C

i

O d )R

(12

1R R R U U A ββ++

+-=??=

单端输出 d i C1d1A 21U U A =

??=

d i

C2d2A 2

1U U A =

??=

当输入共模信号时,若为单端输出,则有 )

2R

R 2

1)(

(1r R R U U A A E

P be B1C

1

C1C2C1++++-=

??=

=ββ≈E

C 2R

R -

若为双端输出,在理想情况下 0U U A i

O C =??=

实际上由于元件不可能完全对称,因此A C 也不绝对等于零。 3、共模抑制比CMRR

为了表征差动放大器对有用信号(差模信号)的放大作用和对共模信号的抑制能力,通常用一个综合指标来衡量,即共模抑制比

CMRR=

c

d A A 或CMRR=20Log

c

d A A (dB)

差动放大器的输入信号可采用直流信号也可用交流。本实验由信号源提供频率f=1KHz 的正弦信号作为输入信号。 三、实验内容

1、典型差动放大器性能测试

按图9-1连接实验线路,开关K 拔向左边构成典型差动放大器。 (1)、测量静态工作点

a 、调节放大器零点

信号源不接入。将放大器输入端A 、B 与地短接,接通+12V 直流电源,用数字电压表测量输出电压Uo ,调节调零电位器Rp ,使Uo =0,调节要仔细,力求准确。

b 、测量静态工作点

零点调好以后,用数字电压表测量V1、V2管各电极电位及射极电阻RE 两端电压URE ,记入表9-1中:

表9-1

(2)、测量差模电压放大倍数

断开直流电源,将信号源的输出端接放大器输入A 端,地端接放大器输入端B 端构成双端输入方式(注意:此时信号源浮地),调节输入信号频率f=1KH Z ,输出旋钮旋至零,用示波器监视输出端集电极(C1或C2与地之间)。

接通±12V 直流电源,逐渐增大输入电压Ui (约100mV ,在输出波形无失真的情况下,用交流毫伏表测Ui ,U C1,U C2,记入表9-2中(如测Ui 时因浮地有干扰,可分别测A 点和B 点对地间电压,两者之差为Ui ) (3)、测量共模电压放大倍数

将放大器A 、B 短接,信号源接A 端与地之间,构成共模输入方式,调节输入信号f=1KH Z ,Ui=1V ,在输出电压无失真的情况下,测量U C1、U C2之值记入表9-2。

表9-2

将图9-1电路中开关K拨向右边,构成具有恒流源的差动放大电路。重复内容1的要求,把结果记入表9-1、9-2中。

CC

EE

图9-1

四、实验报告

1、整理实验数据,列表比较实验结果和理论估算值,分析误差原因;

2、静态工作点和差模电压放大倍数;

3、典型差动放大电路单端输出时的CMRR实测值与理论值比较;

4、典型差动放大电路单端输出时CMRR实测值与具有恒流源的差动放大器CMRR实测值比较;

5、比较U i,U C1和U C2之间的相位关系;

6、根据实验结果,总结电阻R E和恒流源的作用。

实验十集成运算放大器指标测试

一、实验目的

1、掌握运算放大器主要指标的测试方法。

2、通过对运算放大器uA741指标的测试,了解集成运算放大器组件主要参数的定义和表示方法。

二、实验原理

集成运算放大器是一种线性集成电路,和其它半导体器件一样,它是用一些性能指标来衡量其质量的优劣。为了正确使用集成运放,就必须了解它的主要参数指标。集成运放组件的各项指标通常是由专用仪器进行测试的,这里介绍的是一种简易测试方法。

本实验采用的集成运放型号为μA741(或F007),引脚排列如图10-1所示。它是八脚双列直插式组件,②脚和③为反相和同相输入端,⑥为输出端,⑦脚和④脚为正,负电源端,①脚和⑤脚为失调调零端,①⑤脚之间可接入一只几十KΩ的电位器并将滑动角头接到负电源端。⑧脚为空脚。

+U CC

-U CC

51Ω

R1

图10-1 图10-2

1、输入失调电压U OS

理想运放输入信号为零时,其输出直流电压亦应为零。但实际上,如无外界调零的措施,由于运放内部差动输入级参数的不完全对称,输出电压往往不为零。这种零输入时输出不为零的现象称为集成运放的失调。

输入失调电压U OS是指输入信号为零时,输出端出现的电压折算到同相输入端的数值。

失调电压测试电路如图10-2所示。闭合开关K1及K2,使电阻RB短接,测量此时的输出电压U O1即为输出失调电压,则输入失调电压

01

F

1

1

OS

U

R

R

R

U?

+

=

实际测出的U O1可能为正,也可能为负,高质量的运算U CS一般在1mV以下。测试中应注意:①将运放调零端开路。②要求电阻R1和R2,R3和R F的参数严格对称。

2、输入失调电流I OS

输入失调电流I OS是指当输入信号为零时,运放的两个输入端的基极偏值电流之差。

B2

B1

OS

I

I

I-

=

输入失调电流的大小反映了运放内部差动输入级两个晶体管β的失配度,由于I B1,I B2本身的数值已很小(微安极),因此它们的差值通常不是直接测量的,测试电路如图10-2所示,测成分两步进行。

1)闭合开关K 1及K 2,在低输入电阻下,测出输出电压U O1,如前所述,这是由输入失调电压U OS 所引起的输出电压。

2)断开K 1及K 2,两个输入电阻R B 接入,由于R B 阻值较大,流经它们的输入电流的差异,将变成输入电压的差差异,因此,也会影响输入电压的大小,可见测出两个电阻R B 接入时的输出电压U OS ,若从中扣除输入失调电压U O S 的影响,则输入失调电流I OS 为:

B

F

2101

022B B1OS R 1R R R U U I I I ?+-=-=

一般,I OS 在100nA 以下。

测试中应注意:①将运放调零端开路。

②两输入端电阻R B 必须精确配对。

3、开环差模放大倍数A ud

集成运放在没有外部反馈时的直流差模放大倍数称为开环差模电压放大倍数,用A ud

表示。它定义为开环输出电压U O 与两个差分输入端之间所加信号电压A id 之比

d

i O d u U U A =

按定义A ud 应是信号频率为零时的直流放大倍数,但为了测试方便,通常采用低频(几址赫芝以下)正弦交流信号进行测量。由于集成运放的开环电压放大倍数很高,难以直接进行测量,故一般采用闭环测量方法。A ud 的测试方法很多,现采用交、直流同时闭环的测试方法,如图10-3所示。

R

U

图10-3

被测运放一方面通过R F 、R 1、R 2完成直流闭环,以抑制输出电压漂移,另一方面能过R F 和R S 实现交流闭环,外加信号U S 经R 1、R 2分压,使U id 足够小。以保证运放工作在线性区,同相输入端电阻R 3应与反相输入端电阻R 2相匹配,以减少输入偏值电流的影响,电容C 为隔直电容。被测运放的开环电压放大倍数为

i

O 2

1id

O ud U U )R R (1U U A +

==

测试中应注意:①测试前电路应首先消振及调零。

②被测运放要工作在线性位。

③输入信号频率应较低,一般用50~100Hz ,输出信号幅度应较小,且无明显失真。

4、共模抑制比CMRR

集成运放的差模电压放大倍数A d 与共模电压放大倍数A C 之比称为共模抑制比。

C

d A A CMRR

=或db)(A A lg

20CMRR C

d =

共模抑制比在应用中是一个很重要的参数,理想运放对输入的共模信号其输出为零,但在实际的集成运放中,其输出不可能没有共模信号的成分,输出端共模信号愈小,说明电路对称性愈好,也就是说运放对共模干扰信号的抑制能力愈强,即CMRR 愈大。

CMRR 的测试电路如图10-4所示。集成运放工作在闭环状态下的差模电压放大倍数为

图10-4

1

F d R R A -

=

当接入共模输入信号U ic 时,测得U OC ,则共模电压放大倍数为 iC

OC C U U A =

得共模抑制比

OC

C i 1

F C

d U U R R A A CMRR

?==

测试中应注意:①消振与调零

②R 1与R 2,R 1与R F 之间阴值严格对称

③输入信号U ic 幅度必须小于集成运放的最大共模输入电压范围U icm 。

5、共模输入电压范围U iom

集成运放所能承受的最大共模电压称为共模输入电压范围,超出这个范围,运放的CMRR 会大大下降,输出波形产生失真,有些运放还会出现“自锁”现象以及永久性的损坏。

U io m 的测试电路如图10-5所示。

被测运放接成电压路随器形式,输出端接示波器,观察最大不失真输出波形,从而确定U io m 值。

6、输出电压最大动态范围U opp

集成运放的动态范围与电源电压、外接负载及信号源频率有关。测试电路如图10-6所示。

100K

R F U 100K

R F

图10-5 图10-6

改变幅度u i ,观察u o 削顶失真开始时刻,从而确定u o 的不失真范围,这就是运放在某一定电源电压下可能输出的电压峰峰值U opp 。

集成运放在使用应考虑的一些问题:

(1)输入信号选用交、直流量均可,但在选取信号的频率和幅度时,应考虑运放的频响特性和输出幅度的限制。

(2)调零。为提高运算精度,在运算前,应首先对直流输出电位进行调零,即保证输入为零时,输出也为零。当运放有外接调零端子时,可按组件要求接入调零电位器RP ,调零,将输入端接地,调零端接入电位器RP ,用直流电压表测量输出电压U 0,细心调节RP ,使U 0为零(即失调电压为零)。如运放没有调零端子,若要调零,可按图10-7所示电路进行调零。

一个运放如不能调零,大致有如下原因:①组件正常,接线有错误;②组件正常,但负反馈不够强(R F /R 1太大),为此可将

R F 短路,观察是否能调零;③组件正常,但由于它所允许的共模输入电压太低,可能出现自锁现象,因而不能调零。为此可将电源断开后,再重新接通,如能恢复正常,则属于这种情况。④组件正常,但电路有自激现象,应进行消振。⑤组件内部损坏。应更换好的集成块。

(3)消振。一个集成运放自激时,表现为即使输入信号为零,亦会有输出,使各种运算功能无法实现,严重时还会损坏器件。在实验中,可用示波器监视输出波形。为消除运放的自激,常采用如下措施。

①若运放有相位补偿端子,可利用外接RC 补偿电路,产品手册中有补偿电路及元件参数提供。②电路布线、元器件布局应尽量少分布电容。③在正、负电源进线与地之间接上几十μF 的电解电容和0.01~0.1

μF 的陶瓷电容相并联以减小电源引线的影响。

F

U +V

R w

U

图10-7

三、实验内容

实验前看清运放管脚排列及电源电压极性和数值,切忌正、负电源反接。

1、测量输入失调电压U OS

按图10-2连接实验电路,闭合开关K1、K2,用数字直流电压表测量输出端电压U O1,并计算U

,记入表10-1中。

实验电路如图10-2,打开开关K1、K2,用数字电压表测量U O2,计算计算I OS。记入表10-1中。

3、测量开环差模电压放大倍数Aud。

按图10-3连接实验电路,运放输入端加频率100H Z,大小约30~50mV的正弦信号,用示波器监视输出波形。用交流毫伏表测量U O和Ui,并计算A ud。记入表10-1中。

4、测量共模抑制比CMRR

按图10-4连接实验电路,运放输入端加f=100H Z,Uio=1~2V正弦信号,监视输出波形,测量U OC和U iC,并计算A C及CMRR。

四、实验报告

1、将所测得的数据与典型值进行比较。

2、对实验结果及实验中碰到的问题进行分析、讨论。

实验十一集成运算放大器的基本应用(多种模拟运算电路)

一、实验目的

1、研究由集成运放组成的比例、加法、减去和积分等基本运算电路的功能。

2、了解运算放大器在实际应用时应考虑的一些问题。

二、实验内容

1、反相比例运放运算电路。

U i

U

U i

图11-1 图11-2 (1)、按图11-1连接实验电路,接通±12V电源,输入端对地短路,进行调零和消振。(2)、输入f=100Hz,Ui=0.5V的正弦交流信号,测量相应的Uo,并用示波器观察Uo和Ui的相位关系,记入表11-1中:

表11-1 Ui=0.5V f=100Hz

U i

图11-3

(1)、按图11-3(a)连接线路,实验步骤同上,将结果记入表11-2中。

(2)、将图11-3(a)中的R1断开,得图11-3(b)电路重复内容(1)。

表11-2 Ui=0.5V f=100Hz

(1)、按图11-2连接实验电路,调零和消振。

(1)、输入信号采用直流信号,图11-4所示电路为简易直流信号源,由实验者自行完成。实验时要注意选择合适的直流信号幅度以确保集成运放工作在线性区。用数字万用表测量U i1、U i2和Uo记入表11-3:

表11-3

表11-4

0.3V

0.2V

图11-4

4、减法运算电路

(1)、按图11-5连接实验电路,调零和消振。

(2)、采用直流输入信号,实验步骤同内容3,记入表11-4。

5、积分运算电路

实验电路如图11-6所示,接通±12V稳压电源。

模拟电子电路仿真和实测实验方案的设计实验报告111-副本

课程专题实验报告 (1) 课程名称:模拟电子技术基础 小组成员:涛,敏 学号:0,0 学院:信息工程学院 班级:电子12-1班 指导教师:房建东 成绩: 2014年5月25日

工业大学信息工程学院课程专题设计任务书(1)课程名称:模拟电子技术专业班级:电子12-1 指导教师(签名): 学生/学号:涛 0敏0

实验观察R B 、R C 等参数变化对晶体管共射放大电路放大倍数的影响 一、实验目的 1. 学会放大器静态工作点的调式方法和测量方法。 2.掌握放大器电压放大倍数的测试方法及R B 、R C 等参数对放大倍数的影响。 3. 熟悉常用电子仪器及模拟电路实验设备的使用。 二、实验原理 图1为电阻分压式工作点稳定单管放大器实验电路图。偏置电阻R B1、R B2组成分压电路,并在发射极中接有电阻R E ,以稳定放大器的静态工作点。当在放大器的输入端加入输入信号后,在放大器的输出端便可得到一个与输入信号相位相反、幅值被放大了的输出信号,从而实现了电压放大。 三、实验设备 1、 信号发生器 2、 双踪示波器 SS —7802 3、 交流毫伏表 V76 4、 模拟电路实验箱 TPE —A4 5、 万用表 VC9205 四、实验容 1.测量静态工作点 实验电路如图1所示,它的静态工作点估算方法为: U B ≈ 2 11B B CC B R R U R +? I E =E BE B R U U -≈Ic U CE = U CC -I C (R C +R E )

图1 晶体管放大电路实验电路图 实验中测量放大器的静态工作点,应在输入信号为零的情况下进行。 根据实验结果可用:I C ≈I E = E E R U 或I C = C C CC R U U U BE =U B -U E U CE =U C -U E 计算出放大器的静态工作点。 五.晶体管共射放大电路Multisim仿真 在Multisim中构建单管共射放大电路如图1(a)所示,电路中晶体管采用FMMT5179 (1)测量静态工作点 可在仿真电路中接入虚拟数字万用表,分别设置为直流电流表或直流电压 表,以便测量I BQ 、I CQ 和U CEQ ,如图所示。

实验一、电路模拟基础

实验一、电路模拟基础 概要 该实验包括用户基础界面,ADS文件的创建过程包括建立原理图、仿真控件、仿真、和数据显示等部分的内容。该实验还包括调谐与谐波平衡法仿真的一个简单例子。 目标 ●建立一个新的项目和原理图设计 ●设置并执行S参数模拟 ●显示模拟数据和储存 ●在模拟过程中调整电路参数 ●使用例子文件和节点名称 ●执行一个谐波平衡模拟 ●在数据显示区写一个等式 目录 1.运行ADS (2) 2.建立新项目 (3) 3.检查你的新项目内的文件 (5) 4.建立一个低通滤波器设计 (5) 5.设置S参数模拟 (6) 6.开始模拟并显示数据 (7) 7.储存数据窗口 (9) 8.调整滤波器电路 (10) 9.模拟一个RFIC的谐波平衡 (12) 10.增加一个线标签(节点名称),模拟,显示数据 (16)

步骤 1.运行ADS 在开始菜单中选择“Advanced Design System2005A → Advanced Design System”(见图一)。 图一、开始菜单中ADS 2005A的选项 用鼠标点击后出现初始化界面。 图二、ADS 2005初始化界面 随后,很快出现ADS主菜单。 图三、ADS主菜单

如果,你是第一次打开ADS,在打开主菜单之前还会出现下面的对话框。询问使用者希望做什么。 图四、询问询问使用者希望做什么的对话框 其中有创建新项目(Create a new project);打开一个已经存在的项目(Open a existing project);打开最近创建的项目(Open a recently used project)和打开例子项目(Open an example project)四个选项。你可以根据需要打开始当的选项。同样,在主菜单中也有相同功能的选项。如果,你在下次打开主菜单之前不出现该对话框,你可以在“Don’t display this dialog box again”选项前面的方框内打勾。 2.建立新项目 a.在主窗口,通过点击下拉菜单“File→New Project…”创建新项目。 图五、创建新项目对话框 其中,项目的名称的安装目录为ADS项目缺省目录对应的文件夹。(一般安装时缺省目录是C:\user\default,你可以修改,但是注意不能用中文名称或放到中文名称的目录中,因为那样在模拟时会引起错误)。在项目名称栏输入项目名称“lab1”。 对话框下面的项目技术文件主要用于设定单位。在微带线布局时有用,我们选择mil。

模拟电路自主设计实验

姓名_____________________班级_____________________学号_____________________ 日期_____________节次______________成绩__________教师签字__________________ 哈尔滨工业大学模拟电路自主设计实验 实验名称:运算放大器在限幅电路中的应用 一、实验目的 1、深入了解运算放大器的放大作用和深度负反馈; 2、灵活运用运算放大器的多种应用; 二、总体技术路线 2.1 当输入信号电压进入某一范围内,其输出信号的电压不再跟随输入信号电压的变化。 串联限幅电路:当输入电压U i <0或U i为数值较小的正电压时,D1截止,运算放大器的输出电压U0=0;仅当输入电压U i>0且U i为数值大于或等于某一个的正电压U th时,D1才正偏导通,电路有输出,且U0跟随输入信号U i变化。 并联限幅电路:当输入信号U i较小时,输出电压U0也较小,D1和D2没有击穿,U0跟随输入信号U i变化而变化,传输系数为:A uf=-R1 /R2;当U i幅值增大,使U0的幅值增大,并使D1和D2击穿,输出U0的幅度保持+(U z+U D)值不变,电路进入限幅工作状态。 2.2绝对值电路 当输入电压U i>0,则运算放大器的输出电压U1,D1导通,D2截止,输出电压U0 =0;当输入电压U i <0,则运算放大器的输出电压U1 >0,D2导通,D1截止,输出电压U0 =-R1 U i/R2。并通过反向放大器将整流信号放大两倍,再增加一个同相加法器,让输入信号的另一极性电

压不经整流,而直接送到加法器,与来自整流电路的输出电压相加,便构成了绝对值电路。 三、实验电路图 1、串联限幅电路: 2、并联限幅电路:

电子科技大学集成电路原理实验CMOS模拟集成电路设计与仿真王向展

实验报告 课程名称:集成电路原理 实验名称: CMOS模拟集成电路设计与仿真 小组成员: 实验地点:科技实验大楼606 实验时间: 2017年6月12日 2017年6月12日 微电子与固体电子学院

一、实验名称:CMOS模拟集成电路设计与仿真 二、实验学时:4 三、实验原理 1、转换速率(SR):也称压摆率,单位是V/μs。运放接成闭环条件下,将一个阶跃信号输入到运放的输入端,从运放的输出端测得运放的输出上升速率。 2、开环增益:当放大器中没有加入负反馈电路时的放大增益称为开环增益。 3、增益带宽积:放大器带宽和带宽增益的乘积,即运放增益下降为1时所对应的频率。 4、相位裕度:使得增益降为1时对应的频率点的相位与-180相位的差值。 5、输入共模范围:在差分放大电路中,二个输入端所加的是大小相等,极性相同的输入信号叫共模信号,此信号的范围叫共模输入信号范围。 6、输出电压摆幅:一般指输出电压最大值和最小值的差。 图 1两级共源CMOS运放电路图 实验所用原理图如图1所示。图中有多个电流镜结构,M1、M2构成源耦合对,做差分输入;M3、M4构成电流镜做M1、M2的有源负载;M5、M8构成电流镜提供恒流源;M8、M9为偏置电路提供偏置。M6、M7为二级放大电路,Cc为引入的米勒补偿电容。 其中主要技术指标与电路的电气参数及几何尺寸的关系:

转换速率:SR=I5 I I 第一级增益:I I1=?I I2 I II2+I II4=?2I I1 I5(I2+I3) 第二级增益:I I2=?I I6 I II6+I II7=?2I I6 I6(I6+I7) 单位增益带宽:GB=I I2 I I 输出级极点:I2=?I I6 I I 零点:I1=I I6 I I 正CMR:I II,III=I II?√5 I3 ?|I II3|(III)+I II1,III 负CMR:I II,III=√I5 I1+I II5,饱和 +I II1,III+I II 饱和电压:I II,饱和=√2I II I 功耗:I IIII=(I8+I5+I7)(I II+I II) 四、实验目的 本实验是基于微电子技术应用背景和《集成电路原理与设计》课程设置及其特点而设置,为IC设计性实验。其目的在于: 根据实验任务要求,综合运用课程所学知识自主完成相应的模拟集成电路设计,掌握基本的IC设计技巧。 学习并掌握国际流行的EDA仿真软件Cadence的使用方法,并进行电路的模拟仿真。 五、实验内容 1、根据设计指标要求,针对CMOS两级共源运放结构,分析计算各器件尺寸。 2、电路的仿真与分析,重点进行直流工作点、交流AC和瞬态Trans分析,能熟练掌握各种分析的参数设置方法与仿真结果的查看方法。 3、电路性能的优化与器件参数调试,要求达到预定的技术指标。

模拟电路_Multisim软件仿真教程

第13章Multisim模拟电路仿真本章Multisim10电路仿真软件, 本章节讲解使用Multisim进行模拟电路仿真的基本方法。 目录 1. Multisim软件入门 2. 二极管电路 3. 基本放大电路 4. 差分放大电路 5. 负反馈放大电路 6. 集成运放信号运算和处理电路 7. 互补对称(OCL)功率放大电路 8. 信号产生和转换电路 9. 可调式三端集成直流稳压电源电路 13.1 Multisim用户界面及基本操作 13.1.1 Multisim用户界面 在众多的EDA仿真软件中,Multisim软件界面友好、功能强大、易学易用,受到电类设计开发人员的青睐。Multisim用软件方法虚拟电子元器件及仪器仪表,将元器件和仪器集合为一体,是原理图设计、电路测试的虚拟仿真软件。 Multisim来源于加拿大图像交互技术公司(Interactive Image Technologies,简称IIT公司)推出的以Windows为基础的仿真工具,原名EWB。 IIT公司于1988年推出一个用于电子电路仿真和设计的EDA工具软件Electronics Work Bench(电子工作台,简称EWB),以界面形象直观、操作方便、分析功能强大、易学易用而得到迅速推广使用。 1996年IIT推出了EWB5.0版本,在EWB5.x版本之后,从EWB6.0版本开始,IIT对EWB进行了较大变动,名称改为Multisim(多功能仿真软件)。 IIT后被美国国家仪器(NI,National Instruments)公司收购,软件更名为NI Multisim,Multisim经历了多个版本的升级,已经有Multisim2001、Multisim7、Multisim8、Multisim9 、Multisim10等版本,9版本之后增加了单片机和LabVIEW虚拟仪器的仿真和应用。 下面以Multisim10为例介绍其基本操作。图13.1-1是Multisim10的用户界面,包括菜单栏、标准工具栏、主工具栏、虚拟仪器工具栏、元器件工具栏、仿真按钮、状态栏、电路图编辑区等组成部分。

模拟电路实验报告.doc

模拟电路实验报告 实验题目:成绩:__________ 学生姓名:李发崇学号指导教师:陈志坚 学院名称:专业:年级: 实验时间:实验室: 一.实验目的: 1.熟悉电子器件和模拟电路试验箱; 2.掌握放大电路静态工作点的调试方法及其对放大电路性能的影 响; 3.学习测量放大电路Q点、A V、r i、r o的方法,了解公发射极电路特 性; 4.学习放大电路的动态性能。 二、实验仪器 1.示波器 2.信号发生器 3.数字万用表 三、预习要求 1.三极管及单管放大电路工作原理: 2.放大电路的静态和动态测量方法:

四.实验内容和步骤 1.按图连接好电路: (1)用万用表判断试验箱上三极管的好坏,并注意检查电解电容 C1,C2的极性和好坏。 (2)按图连接好电路,将Rp的阻值调到最大位置。(注:接线前先 测量电源+12V,关掉电源后再连接) 2.静态测量与调试 按图接好线,调整Rp,使得Ve=1.8V,计算并填表 心得体会:

3.动态研究 (一)、按图连接好电路 (二)将信号发生器的输入信号调到f=1kHz,幅值为500mVp,接至放大电路A点。观察Vi和V o端的波形,并比较相位。 (三)信号源频率不变,逐渐加大信号源输出幅度,观察V o不失真时的最大值,并填表: 基本结论及心得: Q点至关重要,找到Q点是实验的关键, (四)、保持Vi=5mVp不变,放大器接入负载R L,在改变Rc,R L数值的情况下测量,并将计算结果填入表中:

实验总结和体会: 输出电阻和输出电阻影响放大效果,输入电阻越大,输出电阻越小,放大效果越好。 (1)、输出电阻的阻值会影响放大电路的放大效果,阻值越大,放大的倍数也越大。 (2)、连在三极管集电极的电阻越大,电压的放大倍数越大。 (五)、Vi=5mVp,增大和减小Rp,观察V o波形变化,将结果填入表中: 实验总结和心得体会: 信号失真的时候找到合适Rp是产生输出较好信号关键。 (1)Rp只有在适合的位置,才能很好的放大输入信号,如果Rp阻值太大,会使信号失真,如果Rp阻值太小,则会使输入信号不能被

Multisim模拟电路仿真实验

实验19 Multisim 数字电路仿真实验 1.实验目的 用Multisim 的仿真软件对数字电路进行仿真研究。 2.实验内容 实验19.1 交通灯报警电路仿真 交通灯故障报警电路工作要求如下:红、黄、绿三种颜色的指示灯在下 列情况下属正常工作,即单独的红灯指示、黄灯指示、绿灯指示及黄、绿灯 同时指示,而其他情况下均属于故障状态。出故障时报警灯亮。 设字母R 、Y 、G 分别表示红、黄、绿三个交通灯,高电平表示灯亮, 低电平表示灯灭。字母Z 表示报警灯,高电平表示报警。则真值表如表 19.1所示。 逻辑表达式为:RY RG G Y R Z ++= 若用与非门实现,则表达式可化为:RY RG G Y R Z ??= Multisim 仿真设计图如图19.1所示: 图19.1的电路图中分别用开关A 、B 、C 模拟控制红、黄、绿灯的亮暗,开关接向高电平时表示灯亮,接向低电平时表示灯灭。用发光二极管LED1的亮暗模拟报警灯的亮暗。另外用了一个5V 直流电源、一个7400四2输入与非门、一个7404六反相器、一个7420双4输入与非门、一个500 表19.1 LED_red LED1 图19.1

欧姆电阻。 在模拟实验中可以看出,当开关A、B、C中只有一个拨向高电平,以及B、C同时拨向高电平而A拨向低电平时报警灯不亮,其余情况下报警灯均亮。 实验19.2数字频率计电路仿真 数字频率计电路(实验13.3)的工作要求如下:能测出某一未知数字信号的频率,并用数码管显示测量结果。如果用2位数码管,则测量的最大频率是99Hz。 数字频率计电路Multisim仿真设计图如图19.2所示。其电路结构是: 用二片74LS90(U1和U2)组成BCD码100进制计数器,二个数码管U3和U4分别显示十位数和个位数。四D触发器74LS175(U5)与三输入与非门7410(U6B)组成可自启动的环形计数器,产生闸门控制信号和计数器清0信号。信号发生器XFG1产生频率为1Hz、占空比为50%的连续脉冲信号,信号发生器XFG2产生频率为1-99Hz(人为设置)、占空比为50%的连续脉冲信号作为被测脉冲。三输入与非门7410(U6A)为控制闸门。 运行后该频率计进行如下自动循环测量: 计数1秒→显示3秒→清零1秒→…… 改变被测脉冲频率,重新运行。

怎样利用电路仿真软件进行模拟电路课程的学习

怎样利用电路仿真软件进行模拟电路课程的学习电路分析实验报告 实验二 学习用multisim软件对电路进行仿真 一.实验要求与目的 1.进一步熟悉multisim软件的各种功能。 2.巩固学习用multisim软件画电路图。 3.学会使用multisim里面的各种仪器分析模拟电路。 4.用multisim软件对电路进行仿真。 二、实验仪器 电脑一台及其仿真软件。 三.实验内容及步骤

(1)在电子仿真软件Multisim 基本界面的电子平台上组建如图所示的仿真电路。双击电位器图标,将弹出的对话框的“Valve”选项卡的“Increment”栏改成“1”,将“Label”选项卡的“RefDes”栏改成“RP。 ” 2)调节RP大约在35%左右时,利用直流工作点分析方法分析直 流工作点的值。直流工作点分析(DC Operating Point Analysis)是用来分析和计算电路静态工作点的,进行分析时,Multisim 自动将电路分析条件设为电感、交流电压源短路,电容断开。 单击Multisim 菜单“Simulate/Analyses/DC operating Point…”,在弹出的对话框中选择待分析的电路节点,如2图所示。单击Simulate 按钮进行直流工作点分析。分析结果如图3所示。列出了

单级阻容耦合放大电路各节点对地电压数据,根据各节点对地电压数据,可容易计算出直流工作点的值,依据分析结果,将测试结果填入表1中,比较理论估算与仿真分析结果。 表1 静态工作点数据 电压放大倍数测试 (1)关闭仿真开关,从电子仿真软件Multisim 10基本界面虚拟仪器工具条中,调出虚拟函数信号发生器和虚拟双踪示波器,将虚拟函数信号发生器接到电路输入端,将虚拟示波器两个通道分别接到电路的输入端和输出端,如图4所示。 (2)开启仿真开关,双击虚拟函数信号发生器图标“XFG1”,将打开虚拟函数信号发生器放大面板,首确认“Waveforms”栏下选取的是正弦信号,然后再确认频率为1kHZ”;再确认幅度为 10mVp,如图5所示。 四.仿真分析 动态测量仿真电路

北航电子电路设计训练模拟分实验报告

北航电子电路设计训练模拟部分实验报告

————————————————————————————————作者:————————————————————————————————日期:

电子电路设计训练模拟部分实验 实验报告

实验一:共射放大器分析与设计 1.目的: (1)进一步了解Multisim的各项功能,熟练掌握其使用方法,为后续课程打好基础。 (2)通过使用Multisim来仿真电路,测试如图1所示的单管共射放大电路的静态工作点、电压放大倍数、输入电阻和输出电阻,并观察 静态工作点的变化对输出波形的影响。 (3)加深对放大电路工作原理的理解和参数变化对输出波形的影响。 (4)观察失真现象,了解其产生的原因。 图 1 实验一电路图 2.步骤: (1)请对该电路进行直流工作点分析,进而判断管子的工作状态。 (2)请利用软件提供的各种测量仪表测出该电路的输入电阻。 (3)请利用软件提供的各种测量仪表测出该电路的输出电阻。 (4)请利用软件提供的各种测量仪表测出该电路的幅频、相频特性曲线。 (5)请利用交流分析功能给出该电路的幅频、相频特性曲线。 (6)请分别在30Hz、1KHz、100KHz、4MHz和100MHz这5个频点利用示波器测出输入和输出的关系,并仔细观察放大倍数和相位差。 (提示:在上述实验步骤中,建议使用普通的2N2222A三极管,并请注 意信号源幅度和频率的选取,否则将得不到正确的结果。) 3.实验结果及分析: (1)根据直流工作点分析的结果,说明该电路的工作状态。 由simulate->analyses->DC operating point,可测得该电路的静态工作点为:

Matlab第五章 Simulink模拟电路仿真

第五章Simulink模拟电路仿真 武汉大学物理科学与技术学院微电子系常胜

§5.1 电路仿真概要 5.1.1 MATLAB仿真V.S. Simulink仿真 利用MATLAB编写M文件和利用Simulink搭建仿真模型均可实现对电路的仿真,在实现电路仿真的过程中和仿真结果输出中,它们分别具有各自的优缺点。 武汉大学物理科学与技术学院微电子系常胜

ex5_1.m clear; V=40;R=5;Ra=25;Rb=100;Rc=125;Rd=40;Re=37.5; R1=(Rb*Rc)/(Ra+Rb+Rc); R2=(Rc*Ra)/(Ra+Rb+Rc); R3=(Ra*Rb)/(Ra+Rb+Rc); Req=R+R1+1/(1/(R2+Re)+1/(R3+Rd)); I=V/Req 武汉大学物理科学与技术学院微电子系常胜

ex5_1 武汉大学物理科学与技术学院微电子系常胜

武汉大学物理科学与技术学院微电子系常胜

注意Simulink仿真中imeasurement模块 /vmeasurement模块和Display模块/Scope模块的联合使用 Series RLC Branch模块中R、C、L的确定方式 R:Resistance设置为真实值Capacitance设置为inf(无穷大)Inductance设置为0 C:Resistance设置为0 Capacitance设置为真实值Inductance设置为0 L:Resistance设置为0Capacitance设置为inf Inductance设置为真实值 武汉大学物理科学与技术学院微电子系常胜

完整版模拟电子电路实验报告

. 实验一晶体管共射极单管放大器 一、实验目的 1、学会放大器静态工作点的调试方法,分析静态工作点对放大器性能的影响。 2、掌握放大器电压放大倍数、输入电阻、输出电阻及最大不失真输出电压的测试方法。 3、熟悉常用电子仪器及模拟电路实验设备的使用。 二、实验原理 图2-1为电阻分压式工作点稳定单管放大器实验电路图。它的偏置电路采用R 和R组成的分压电路,并在发射极中接有电阻R,以稳定放大器的静态工EB1B2作点。当在放大器的输入端加入输入信号u后,在放大器的输出端便可得到一i个与u相位相反,幅值被放大了的输出信号u,从而实现了电压放大。0i 图2-1 共射极单管放大器实验电路 在图2-1电路中,当流过偏置电阻R和R 的电流远大于晶体管T 的 B2B1基极电流I时(一般5~10倍),则它的静态工作点可用下式估算B教育资料.. R B1U?U CCB R?R B2B1 U?U BEB I??I EC R E

)R+R=UU-I(ECCCCEC电压放大倍数 RR // LCβA??V r be输入电阻 r R/// R=R/beiB1 B2 输出电阻 R R≈CO由于电子器件性能的分散性比较大,因此在设计和制作晶 体管放大电路时, 为电路设计提供必离不开测量和调试技术。在设计前应测量所用元器件的参数,还必须测量和调试放大器的静态工作点和各要的依据,在完成设计和装配以后,因此,一个优质放大器,必定是理论设计与实验调整相结合的产物。项性能指标。除了学习放大器的理论知识和设计方法外,还必须掌握必要的测量和调试技术。消除干扰放大器静态工作点的测量与调试,放大器的测量和调试一般包括:与自激振荡及放大器各项动态参数的测量与调试等。、放大器静态工作点的测量 与调试 1 静态工作点的测量1) 即将放大的情况下进行,=u 测量放大器的静态工作点,应在输入信号0 i教育资料. . 器输入端与地端短接,然后选用量程合适的直流毫安表和直流电压表,分别测量晶体管的集电极电流I以及各电极对地的电位U、U和U。一般实验中,为了避 ECCB免断开集电极,所以采用测量电压U或U,然后算出I的方法,例如,只要 测CEC出U,即可用E UU?U CECC??II?I,由U确定I(也可根据I),算出CCC CEC RR CE同时也能算出U=U-U,U=U-U。EBEECBCE为了减小误差,提高测量精度,应选用内阻较高的直流电压表。 2) 静态工作点的调试 放大器静态工作点的调试是指对管子集电极电流I(或U)的调整与测试。 CEC静态工作点是否合适,对放大器的性能和输出波形都有很大影响。如工作点偏高,放大器在加入交流信号以后易产生饱和失真,此时u的负半周将被削底,O 如图2-2(a)所示;如工作点偏低则易产生截止失真,即u的正半周被缩顶(一 O般截止失真不如饱和失真明显),如图2-2(b)所示。这些情况都不符合不失真放大的要求。所以在选定工作点以后还必须进行动态调试,即在放大器的输入端 加入一定的输入电压u,检查输出电压u的大小和波形是否满足要求。如不满Oi

模拟电路仿真实验

模拟电路仿真实验 实验报告 班级: 学号: 姓名:

多级负反馈放大器的研究 一、实验目的 (1)掌握用仿真软件研究多级负反馈放大电路。 (2)学习集成运算放大器的应用,掌握多级集成运放电路的工作特点。 (3)研究负反馈对放大器性能的影响,掌握负反馈放大器性能指标的测试方法。 1.测试开环和闭环的电压放大倍数、输入电阻、反馈网络的电压反馈系数的通频带; 2.比较电压放大倍数、输入电阻、输出电阻和通频带在开环和闭环时的差别; 3.观察负反馈对非线性失真的改善。 二、实验原理及电路 (1)基本概念: 1.在电子电路中,将输出量(输出电压或输出电流)的一部分或全部通过一定的电路形式作用到输入回路,用来影响其输入量(放大电路的输入电压或输入电流)的措施称为反馈。 若反馈的结果使净输入量减小,则称之为负反馈;反之,称之为正反馈。若反馈存在于直流通路,则称为直流反馈;若反馈存在于交流通路,则称为交流反馈。 2.交流负反馈有四种组态:电压串联负反馈;电压并联负反馈;电流串联负反馈;电流并联负反馈。若反馈量取自输出电压,则称之为电压反馈;若反馈量取自输出电流,则称之为电流反馈。输入量、反馈量和净输入量以电压形式相叠加,称为串联反馈;以电流形式相叠加,称为并联反馈。 3.在分析反馈放大电路时,“有无反馈”决定于输出回路和输入回路是否存在反馈支路。“直流反馈或交流反馈”决定于反馈支路存在于直流通路还是交流通路;“正负反馈”的判断可采用瞬时极性法,反馈的结果使净输入量减小的为负反馈,使净输入量增大的为正反馈;“电压反馈或电流反馈”的判断可以看反馈支路与输出支路是否有直接接点,如果反馈支路与输出支路有直接接点则为电压反馈,否则为电流反馈;“串联反馈或并联反馈”的判断可以看反馈支路与输入支路是否有直接接点,如果反馈支路与输入支路有直接接点则为并联反馈,否则为串联反馈。 4.引入交流负反馈后,可以改善放大电路多方面的性能:提高放大倍数的稳定性、改变输入电阻和输出电阻、展宽通频带、减小非线性失真等。实验电路如图所示。该放大电路由两级运放构成的反相比例器组成,在末级的输出端引入了反馈网路C f 、R f2和R f1,构成了交流电压串联负反馈电路。 R110kΩ R2100kΩ R3 10kΩ R43.9kΩ R53.9kΩ R63.9kΩ R7200kΩ R81kΩ R94.7kΩR10300kΩ U1A LM324N 3 2 11 41 U1C LM324N 10 9 11 4 8 C110uF C210uF C3 10uF J1 Key = Space J2 Key = A VCC 10V VEE -10V 1 4 10 8 11 12 13 7 3 6 5VEE VCC 2 9

实验一 典型环节的电路模拟与数字仿真实验

实验一典型环节的电路模拟与数字仿真实验 一实验目的 通过实验熟悉各种典型环节传递函数及其特性,掌握电路模拟和数字仿真研究方法。 二实验内容 1.设计各种典型环节的模拟电路。 2.编制获得各种典型环节阶跃特性的数字仿真程序。 3.完成各种典型环节模拟电路的阶跃特性测试,并研究参数变化对典型环节阶跃特性的影响。 4.运行所编制的程序,完成典型环节阶跃特性的数字仿真研究,并与电路模拟研究的结果作比较。 三实验步骤 1.熟悉实验设备,设计并连接各种典型环节的模拟电路; 2.利用实验设备完成各典型环节模拟电路的阶跃特性测试,并研究参数变化对典型环节阶跃特性的影响; 3.用MATLAB编写计算各典型环节阶跃特性的数字仿真研究,并与电路模拟测试结果作比较。分析实验结果,完成实验报告。 四实验结果 1.积分环节模拟电路、阶跃响应

仿真结果: 2.比例积分环节模拟电路、阶跃响应 仿真结果:

3.比例微分环节模拟电路、阶跃响应 仿真结果: 4.惯性环节模拟电路、阶跃响应

仿真结果: 5.实验结果分析: 积分环节的传递函数为G=1/Ts(T为积分时间常数),惯性环节的传递函数为G=1/(Ts+1)(T为惯性环节时间常数)。 当时间常数T趋近于无穷小,惯性环节可视为比例环节, 当时间常数T趋近于无穷大,惯性环节可视为积分环节。

实验二典型系统动态性能和稳定性分析的电路模拟与数 字仿真研究 一实验目的 1.学习和掌握动态性能指标的测试方法。 2.研究典型系统参数对系统动态性能和稳定性的影响。 二实验内容 1.观测二阶系统的阶跃响应,测出其超调量和调节时间,并研究其参数变化对动态性能和稳定性的影响。 三实验步骤 1.熟悉实验设备,设计并连接由一个积分环节和一个惯性环节组成的二阶闭环系统的模拟电路; 2.利用实验设备观测该二阶系统模拟电路的阶跃特性,并测出其超调量和调节时间; 3.二阶系统模拟电路的参数观测参数对系统的动态性能的影响; 4.分析结果,完成实验报告。 四实验结果 典型二阶系统 仿真结果:1)过阻尼

模拟电子技术课程设计(Multisim仿真)

《电子技术Ⅱ课程设计》 报告 姓名 xxx 学号 院系自动控制与机械工程学院 班级 指导教师 2014 年 6 月18日

目录 1、目的和意义 (3) 2、任务和要求 (3) 3、基础性电路的Multisim仿真 (4) 3.1 半导体器件的Multisim仿真 (4) 3.11仿真 (4) 3.12结果分析 (4) 3.2单管共射放大电路的Multisim仿真 (5) 3.21理论计算 (7) 3.21仿真 (7) 3.23结果分析 (8) 3.3差分放大电路的Multisim仿真 (8) 3.31理论计算 (9) 3.32仿真 (9) 3.33结果分析 (9) 3.4两级反馈放大电路的Multisim仿真 (9) 3.41理论分析 (11) 3.42仿真 (12) 3.5集成运算放大电路的Multisim仿真(积分电路) (12) 3.51理论分析 (13) 3.52仿真 (14) 3.6波形发生电路的Multisim仿真(三角波与方波发生器) (14) 3.61理论分析 (14) 3.62仿真 (14) 4.无源滤波器的设计 (14) 5.总结 (18) 6.参考文献 (19)

一、目的和意义 该课程设计是在完成《电子技术2》的理论教学之后安排的一个实践教学环节.课程设计的目的是让学生掌握电子电路计算机辅助分析与设计的基本知识和基本方法,培养学生的综合知识应用能力和实践能力,为今后从事本专业相关工程技术工作打下基础。这一环节有利于培养学生分析问题,解决问题的能力,提高学生全局考虑问题、应用课程知识的能力,对培养和造就应用型工程技术人才将能起到较大的促进作用。 二、任务和要求 本次课程设计的任务是在教师的指导下,学习Multisim仿真软件的使用方法,分析和设计完成电路的设计和仿真。完成该次课程设计后,学生应该达到以下要求: 1、巩固和加深对《电子技术2》课程知识的理解; 2、会根据课题需要选学参考书籍、查阅手册和文献资料; 3、掌握仿真软件Multisim的使用方法; 4、掌握简单模拟电路的设计、仿真方法; 5、按课程设计任务书的要求撰写课程设计报告,课程设计报告能正确反映设计和仿真结果。

模拟电子线路实验实验报告

模拟电子线路实验实验 报告 Document number:NOCG-YUNOO-BUYTT-UU986-1986UT

网络高等教育 《模拟电子线路》实验报告 学习中心:浙江建设职业技术学院奥鹏学习中心层次:高中起点专科 专业:电力系统自动化技术 年级: 12 年秋季 学号: 学生姓名:

实验一常用电子仪器的使用 一、实验目的 1.了解并掌握模拟电子技术实验箱的主要功能及使用方法。 2.了解并掌握数字万用表的主要功能及使用方法。 3.学习并掌握TDS1002型数字存储示波器和信号源的基本操作方 法。 二、基本知识 1.简述模拟电子技术实验箱布线区的结构及导电机制。 布线区面板以大焊孔为主,其周围以十字花小孔结构相结合,构成接点的连接形式,每个大焊孔与它周围的小孔都是相通的。 2.试述NEEL-03A型信号源的主要技术特性。 ①输出波形:三角波、正弦波、方波、二脉、四脉、八脉、单次脉冲信号; ②输出频率:10Hz~1MHz连续可调; ③幅值调节范围:0~10V P-P连续可调; ④波形衰减:20dB、40dB; ⑤带有6位数字频率计,既可作为信号源的输出监视仪表,也可以作外侧频率计用。 注意:信号源输出端不能短路。 3.试述使用万用表时应注意的问题。

使用万用表进行测量时,应先确定所需测量功能和量程。 确定量程的原则: ①若已知被测参数大致范围,所选量程应“大于被测值,且最接近被测值”。 ②如果被测参数的范围未知,则先选择所需功能的最大量程测量,根据初测结果逐步把量程下调到最接近于被测值的量程,以便测量出更加准确的数值。 如屏幕显示“1”,表明已超过量程范围,须将量程开关转至相应档位上。 4.试述TDS1002型示波器进行自动测量的方法。 按下“测量”按钮可以进行自动测量。共有十一种测量类型。一次最多可显示五种。 按下顶部的选项按钮可以显示“测量1”菜单。可以在“信源”中选择在其上进行测量的通道。可以在“类型”中选择测量类型。 测量类型有:频率、周期、平均值、峰-峰值、均方根值、最小值、最大值、上升时间、下降时间、正频宽、负频宽。 三、预习题 1.正弦交流信号的峰-峰值=_2__×峰值,峰值=__根号2__×有效值。 2.交流信号的周期和频率是什么关系 两者是倒数关系。 周期大也就是频率小,频率大也就是周期长

模拟电路实验仿真

模拟电子电路仿真 1.1 晶体管基本放大电路 共射极,共集电极和共基极三种组态的基本放大电路是模拟电子技术的基础,通过EWB 对其进行仿真分析,进一步熟悉三种电路在静态工作点,电压放大倍数,频率特性以及输入,输出电阻等方面各自的不同特点。 1.1.1 共射极基本放大电路 按图7.1-1搭建共射极基本放大电路,选择电路菜单电路图选项(Circuit/Schematic Option )中的显示/隐藏(Show/Hide)按钮,设置并显示元件的标号与数值等 。 1.静态工作点分析 选择分析菜单中的直流工作点分析选项(Analysis/DC Operating Point)(当然,也可以使用仪器库中的数字多用表直接测量)分析结果表明晶体管Q1工作在放大状态。 2.动态分析 用仪器库的函数发生器为电路提供正弦输入信号Vi(幅值为5mV,频率为10kH),用示波器观察到输入,输出波形。由波形图可观察到电路的输入,输出电压信号反相位关系。再一种直接测量电压放大倍数的简便方法是用仪器库中的数字多用表直接测得。 3.参数扫描分析 在图7.1-1所示的共射极基本放大电路中,偏置电阻R1的阻值大小直接决定了静态电流IC的大小,保持输入信号不变,改变R1的阻值,可以观察到输出电压波形的失真情况。选择分析菜单中的参数扫描选项(Analysis/Parameter Sweep Analysis),在参数扫描设置对话框中将扫描元件设为R1,参数为电阻,扫描起始值为100K,终值为900K,扫描方式为线性,步长增量为400K,输出节点5,扫描用于暂态分析。 4.频率响应分析 选择分析菜单中的交流频率分析项(Analysis/AC Frequency Analysis)在交流频率分析参数设置对话框中设定:扫描起始频率为1Hz,终止频率为1GHz,扫描形式为十进制,纵向刻度为线性,节点5做输出节点。 由图分析可得:当共射极基本放大电路输入信号电压VI为幅值5mV的变频电压时,

模拟电子线路multisim仿真实验报告

MULTISIM 仿真实验报告

实验一单级放大电路 一、实验目的 1、熟悉multisim软件的使用方法 2、掌握放大器的静态工作点的仿真方法,及对放大器性能的影响。 3、学习放大器静态工作点、电压放大倍数,输入电阻、输出电阻的仿真方法,了解共 射级电路的特性。 二、虚拟实验仪器及器材 双踪示波器信号发生器交流毫伏表数字万用表 三、实验步骤 1.仿真电路图 V1 10mVrms 1kHz 0° R1 100kΩ Key=A 10 % R2 51kΩ R3 20kΩ R4 5.1kΩ Q1 2N2222A R5 100Ω R6 1.8kΩ C1 10μF C2 10μF C3 47μF 3 7 V2 12 V 4 5 2 1 R7 5.1kΩ 9 XMM1 6 E级对地电压25.静态数据仿真

仿真数据(对地数据)单位;V计算数据单位;V 基级集电极发射级Vbe Vce RP 2.834 6.126 2.2040.63 3.92210k 26.动态仿真一 1.单击仪表工具栏的第四个,放置如图,并连接电路。 V1 10mVrms 1kHz 0° R1 100kΩ Key=A 10 % R2 51kΩ R3 20kΩ R4 5.1kΩ Q1 2N2222A R5 100Ω R6 1.8kΩ C1 10μF C2 10μF C3 47μF 3 7 V2 12 V 4 5 2 R7 5.1kΩ XSC1 A B Ext Trig + + _ _+_ 6 1 9

2.双击示波器,得到如下波形 5.他们的相位相差180度。 27.动态仿真二 1.删除负载电阻R6 V1 10mVrms 1kHz 0° R1 100kΩ Key=A 10 % R2 51kΩ R3 20kΩ R4 5.1kΩ Q1 2N2222A R5 100Ω R6 1.8kΩ C1 10μF C2 10μF C3 47μF 3 7 V2 12 V 4 5 2 XSC1 A B Ext Trig + + _ _+_ 6 1 9 2.重启仿真。

基于Multisim的模拟电路仿真技术

本科毕业设计(论文) 题目基于Multisim的 模拟电路仿真技术 部系地方生部 专业电子信息工程 学员郑怿 指导教员梁发麦 中国人民解放军海军航空工程学院 2007 年7 月

基于Multisim的模拟电路仿真技术 摘要:介绍了Multisim 软件的功能和特点,提出运用Multisim 实现模拟电路的仿真方法。通过几个电子原理性电路的仿真实例阐述了模拟电路建立、元器件的选用和仿真参数的设置方法等关健问题,同时得到了正确的仿真结果。 关键词:模拟电路;Multisim ;仿真技术;EDA 从20 世纪80 年代以来,电子系统日趋数字化、复杂化和大规模集成化。同时深亚微米半导体工艺、B 表面安装技术的发展又支持了产品集成化程度的进步,使电子产品进入了片上系统(SOC )时代。另外电子产品厂商不懈追求缩短产品设计周期,从而获取高收益。在这些因素的影响下,EDA 技术应运而生。EDA ( Electronic Design Automation ,电子设计自动化)技术是一门综合了现代电子与计算机技术,以计算机为平台对电子电路、系统或芯片进行设计、仿真和开发的计算机辅助设计技术。利用EDA 技术对电力电子电路进行仿真一直是研究电力电子技术的工程技术人员所期望实现的目标。Multisim 就为此提供了一个良好的平台。在这个平台上可以容易地实现了基本的电力电子电路的仿真,包括不控整流电路、可控整流电路、逆变电路等电路的仿真分析。仿真得到的结果与理论分析的结果基本一致,这对电子电路的设计具有重大的意义。本文主要介绍利用Multisim 10平台对基本电子电路进行仿真的方法,得出与理论相符合的结果,有利于实际的工程设计。 1 Multisim 的功能和特点 加拿大Interactive Image Technologie 公司在1958 年推出了一个专门用于电子电路仿真和设计的EDA 工具软件EWB ( Electronics Workbench )。由于EWB 具有许多突出的优点,引起了电子电路设计工作者的关注,迅速得到了推广使用。但是随着电子技术的飞速发展,EWB 5 . x 版本的仿真设计功能已远远不能满足复杂的电子电路的仿真设计要求。因此IIT 公司将用于电路级仿真设计的模块升级为Multi sim ,并于2001 年推出了Multisim 的最新版本Multisim 2001 。 Multisim 2001 继承了 EWB 界面形象直观、操作方便、仿真分析功能强大、分析仪器齐全、易学易用等诸多优点,并在功能和操作上进行了较大改进。主要表现为:增加了射频电路的仿真功能;极大扩充了元器件库;新增了元件编辑器;扩充了电路的测试功能;增加了瓦特表、失真仪、网络分析仪等虚拟仪器,并允许仪器仪表多台同时使用;改进了元件之间的连接方式,允许任意走向;支持VHDL 和Verilo g 语言的电路仿真与设计;允许把子电路作为一个元器件使用,允许用户自定义元器件的属性等。 工程师们可以使用Multisim交互式地搭建电路原理图,并对电路进行仿真。Multisim提炼了SPICE仿真的复杂内容,这样工程师无需懂得深入的SPICE技术就可以很快地进行捕获、仿真和分析新的设计,这也使其更适合电子学教育。通过Multisim和虚拟仪器技术,PCB设计工程师和电子学教育工作者可以完成从理论到原理图捕获与仿真再到原型设计和测试这样一个完整的综合设计流程。 (一)模拟电路举例: 1.1 晶体管基本放大电路 共射极,共集电极和共基极三种组态的基本放大电路是模拟电子技术的基础,通过EWB对其进行仿真分析,进一步熟悉三种电路在静态工作点,电压放大倍数,频率特性以及输入,输出电阻等方面各自的不同特点。

模拟电子线路multisim仿真实验报告

MULTISIM 仿真实验报告 实验一单级放大电路 一、实验目的 1、熟悉multisim软件的使用方法 2、掌握放大器的静态工作点的仿真方法,及对放大器性能的影响。 3、学习放大器静态工作点、电压放大倍数,输入电阻、输出电阻的仿真方法,了

解共射级电路的特性。 二、虚拟实验仪器及器材 双踪示波器信号发生器交流毫伏表数字万用表 三、实验步骤 1.仿真电路图 V1 10mVrms 1kHz 0° R1 100kΩ Key=A 10 % R2 51kΩ R3 20kΩ R4 5.1kΩ Q1 2N2222A R5 100Ω R6 1.8kΩ C1 10μF C2 10μF C3 47μF 3 7 V2 12 V 4 5 2 1 R7 5.1kΩ 9 XMM1 6 E级对地电压25.静态数据仿真

仿真数据(对地数据)单位;V计算数据单位;V 基级集电极发射级Vbe Vce RP 10k 26.动态仿真一 1.单击仪表工具栏的第四个,放置如图,并连接电路。 V1 10mVrms 1kHz 0° R1 100kΩ Key=A 10 % R2 51kΩ R3 20kΩ R4 5.1kΩ Q1 2N2222A R5 100Ω R6 1.8kΩ C1 10μF C2 10μF C3 47μF 3 7 V2 12 V 4 5 2 R7 5.1kΩ XSC1 A B Ext Trig + + _ _+_ 6 1 9

2.双击示波器,得到如下波形 5.他们的相位相差180度。 27.动态仿真二 1.删除负载电阻R6 V1 10mVrms 1kHz 0° R1 100kΩ Key=A 10 % R2 51kΩ R3 20kΩ R4 5.1kΩ Q1 2N2222A R5 100Ω R6 1.8kΩ C1 10μF C2 10μF C3 47μF 3 7 V2 12 V 4 5 2 XSC1 A B Ext Trig + + _ _+_ 6 1 9 2.重启仿真。

相关主题
文本预览
相关文档 最新文档