当前位置:文档之家› 寄生参数的影响和解决方案

寄生参数的影响和解决方案

寄生参数的影响和解决方案
寄生参数的影响和解决方案

版图设计中的寄生参数分析

深圳中兴集成电路设计有限公司金善子

1.引言

正如我们了解的,工艺层是芯片设计的重要组成部分。一层金属搭在另一层金属上面,一个晶体管靠近另一个晶体管放置,而且这些晶体管全部都是在衬底上制作的。只要在工艺制造中引入了两种不同的工艺层,就会产生相应的寄生器件,这些寄生器件广泛地分布在芯片各处,更糟糕的是我们无法摆脱它们。

寄生器件是我们非常不希望出现的,它会降低电路的速度,改变频率响应或者一些意想不到的事情发生。既然寄生是无法避免的,那么电路设计者就要充分将这些因素考虑进去,尽量留一些余量以便把寄生参数带来的影响降至最低。

2.寄生参数的种类

寄生参数主要包括了电容寄生、电阻寄生,和电感寄生。

2.1 寄生电容

图1所呈现的是在不同金属层之间以及它们与衬底之间产生的电容情况:

图(1) 无处不在的寄生电容

由上图我们可以看到寄生电容无处不在。不过需要了解的是即使寄生电容很多,但是如果你的电路设计对电容不十分敏感的时候,我们完全可以忽略它们。但当电路的设计要求芯片速度很快的时候,或者频率很高时,这些寄生的电容就显得格外重要了。一般来说,在一个模拟电路中,只要频率超过20MHz 以上,就必须对它们给予注意,否则,它有可能会毁掉你的整个芯片。

减少寄生电容可以从以下几个方面入手:

(1)导线长度

如果你被告知某个区域的寄生参数要小,最直接有效的方法就是尽量减小导线长度,因为导线长度小的话,与它相互作用而产生的电容例如金属或者衬底层的电容就会相应地减小,这个道理显而易见。

(2)金属层的选择

另一种解决的办法则是你的金属层选择。起主要作用的电容通常是导线与衬底之间的电容,图2则说明了衬底电容对芯片的影响。

Noisy Quiet

图(2) 衬底电容产生的噪声影响

如上所示,电路1和电路2都对地产生了一个衬底电容,衬底本身又有一个寄生电阻,这样一来电路1的噪声就通过衬底耦合到电路2上面,这是我们不希望看到的。

因此我们改变一下金属层,通常情况下,最高金属层所形成的电容总是最小的。电容大小与平板的间距成反比,一点距离的变化就能引起很大的差别。另外值得注意的是并不是所有工艺的最高层金属与衬底产生的寄生电容都最小,它还与金属层的宽度等其它因素有关。有些工艺中或许是M2对地的电容要比M4的对地电容大,所以我们不能只凭直觉来判断,一定要通过具体的计算来确认。

(3)金属叠加

在某些电路的上面布金属线,这是在数字自动布局布线中经常会遇到的情况。各层金属相互交叠,所以在反相器、触发器等都存在寄生电容。如果不加以干预的话,只是由布线器来操作,那么就有可能毁了你的芯片。

在模拟电路版图设计中,我们经常会人为的将敏感信号隔离开来,尽量避免在敏感电路上面走线,而只是将金属线走在电路之间,这样寄生的参数就小一些且相对容易控制。

2.2 寄生电阻

寄生参数中另一个比较麻烦的要数寄生电阻了。

翻开工艺手册,我们经常能看到每层金属线能够承载的电流。通过这个参数我们可以计算所需要的金属层宽度。例如,有一根信号线需要承载1毫安的电流,而工艺手册注明每微米可以走0.5毫安的电流,那么这根金属层的宽度至少要2微米,如图3所示。

下面我们来计算一下这根导线因为寄生电阻而产生的IR压降。

导线的方块电阻Rsqu是0.05Ω,R=Rsqu L/W,V=IR 所以计算得知电压为50毫伏。它对于一个电压非常敏感的电路来讲就会有很大的影响。如果这条导线的压降不能超过10毫伏,显然这个设计就是失败的。所以这就意味着我们必须将导线宽度增加5倍才能满足这一要求。

为了降低寄生电阻,就需要确保使用最厚的金属层。正如我们了解的,一般情况下,最厚的金属线具有最低的方块电阻。如果遇到相同的金属层厚度,也可以将这几条金属重叠形成并联结构,大大降低了电阻。因此,并联布线是降低大电流路径电阻的有效方法,而且还能节省一定的面积。如图3所示。

图(3) 金属并联结构降低电阻

2.3 寄生电感

当你的电路是在一个真正的高频的情况下工作时,导线也开始存在了电感效应。解决寄生电感的方法就是试着去模拟它,把它当成电路中的一部分。

首先你需要尽早的完成你的布局,好让电路设计者较早的看到导线究竟能有多长,然后估计出可能引起的电感。版图设计过程中尤其注意不要因为电感耦合而影响其它部分。

2.4 器件的寄生参数

器件本身就具有寄生参数

晶体管的例子

(1) CMOS

当源或漏上的电压发生变化时,阱电容会使这一变化变慢。当有一个电压加到栅上时,栅电容会使它变慢。多晶硅栅的串联电阻与栅电容一起形成了一个RC时间常数,它使器件进一步变慢。几乎器件的每一个部分都有某种电容以某种方式使器件的操作变慢。

减少CMOS器件寄生参数的技术就是减少栅的串联电阻。任何其它在内的寄生参数是没有办法改变的。如果我们降低了多晶硅栅的串联电阻,就降低了RC时间常数,从而改善了器件的速度。我们可以通过把多晶硅栅分成多个“指状“结构,然后用导线将它们并联起来以降低电阻。例如把器件分为两个就可以把RC时间常数降低4倍。通过分成多个器件以及源漏共享可以大大减小CMOS晶体管上的寄生参数影响。

(2) 双极型晶体管的例子

在双极晶体管中,集电极从注入的N区直接向下到衬底也存在寄生电容。需要明白的是,对于双极晶体管器件来说,我们几乎没有什么手段加以改进。不过,由于事先我们已经对器件进行了精确的测量并建立了模型,所以当电路设计者在进行设计的时候已经把这些因素都考虑进去了。

需要了解的是两个晶体管相互靠近时会对电路不利。如图5所示,两个双极器件的集电极靠近放置,集电极和衬底之间不可避免地存在着寄生电容,而衬底本身也存在着寄生电阻将两个双极器件连接起来。

采用全定制技术可以把器件做得小一些。通常是把一些较小的器件做成一个大的器件,将它们放在

同一个阱里面,减少对衬底的寄生电容。例如你有许多并联的双极型晶体管而不是许多单个的晶体管需要布线,可以考虑把它们的集电极合成一个。

图(5)

两个Bipolar 器件的寄生参数

闩锁效应就是由于器件寄生而产生的典型电路,我们不妨分析一下它的产生原因以及如何更好地采

取措施防范它的发生。

图(6) b PN 结的截面图

图(6) a CMOS 对

下图6 C 是由图2画出的电路图

图(6) c PN 结电路图

当两个MOS 晶体管被制造的时候形成两个寄生双极型晶体管:一个平行的NPN 和一个垂直的PNP 。

在正常情况下,结构中的PN 结应为反向偏置。然而,如果两个双极型晶体管因某种原因工作在放大区,电路会出现很多的正反馈,引起两个双极型晶体管传导剧烈。

我们来假设晶体管都是有源的而且NPN 晶体管的基极电流因某种原因增加了I ,那么NPN 晶体管

的集电极电流则增加了βI ,如果R2被忽略,这个电流又由PNP 晶体管的基极流入。结果,PNP 晶体管集电极电流增加到βnpnβpnpI,最后,如果R1被忽略,这个电流流回NPN 晶体管的基极。这个分析说明电路

产生一个与开始的扰动同向的增长电流,因此反馈是正向的。在这种情况下,在电源和地之间就产生了巨大的电流通过,一直到电源关闭或者电路烧毁。

所以CMOS集成电路的版图设计者一定要预防闩锁发生。通常做法是保证R1,R2,β足够小来避免这一问题。垂直的双极型晶体管的β由工艺特性决定,不受电路设计者的控制。然而横向晶体管的β可通过增加基极宽度而减少,为了减少R1和R2,许多衬底和阱联合使用来代替分开使用,衬底极的保护环和阱的连接处在阱区内或者稍外。

3.结论

寄生参数通常都是让人感觉很麻烦的,希望把它们全部去掉或者将寄生减少到最低的程度。

你可以把寄生参数作为电路设计中的一部分,但是这样做是非常危险的。因为通常情况下那些寄生器件非常不好控制,它们可以有正负50%的误差。如果你把一个寄生参数设计在一个电路中而又起主导作用,那么你的电路将依赖这个器件,也许它会失败。但是可以利用寄生参数得到一些好处,例如如果你需要很多的电容,而且你又不在意它有多大,你可以利用额外的寄生参数实现这一目的,把电源线和地线重叠起来就可以得到免费的去耦电容。

4.参考文献

[1] 集成电路掩模设计----基础版图技术

[2] CMOS Design,Layout,and Simulation

[3] The Art of Analog Layout

[4] Microchip Fabrication:A Practice guide to Semiconductor Processing

[5] Analysis and Design of Analog Integrated Circuits

[6] CMOS Circuits Design,Layout,and Simulation

[7] CMOS IC Layout: Concepts,Methodologies,and Tools

[8] 模拟集成电路的分析与设计

作者简介

金善子,深圳中兴集成电路设计有限公司后端设计高级工程师

MOS管寄生参数的影响和其驱动电路要点

MOS管寄生参数的影响和其驱动电路要点 我们在应用MOS管和设计MOS管驱动的时候,有很多寄生参数,其中最影响MOS管开关性能的是源边感抗。寄生的源边感抗主要有两种来源,第一个就是晶圆DIE和封装之间的Bonding 线的感抗,另外一个就是源边引脚到地的PCB走线的感抗(地是作为驱动电路的旁路电容和电源网络滤波网的返回路径)。在某些情况下,加入测量电流的小电阻也可能产生额外的感抗。 我们分析一下源边感抗带来的影响: 使得MOS管的开启延迟和关断延迟增加 由于存在源边电感,在开启和关段初期,电流的变化被拽了,使得充电和放电的时间变长了。同时源感抗和等效输入电容之间会发生谐振(这个谐振是由于驱动电压的快速变压形成的,也是我们在G端看到震荡尖峰的原因),我们加入的门电阻Rg和内部的栅极电阻Rm都会抑制这个震荡(震荡的Q值非常高)。 我们需要加入的优化电阻的值可以通过上述的公式选取,如果电阻过大则会引起G端电压的过冲(优点是加快了开启的过程),电阻过小则会使得开启过程变得很慢,加大了开启的时间(虽然G端电压会被抑制)。 源边感抗另外一个影响是阻碍Id的变化,当开启的时候,初始时di/dt偏大,因此在原感抗上产生了较大压降,从而使得源点点位抬高,使得Vg电压大部分加在电感上面,因此使得G 点的电压变化减小,进而形成了一种平衡(负反馈系统)。 另外一个重要的寄生参数是漏极的感抗,主要是有内部的封装电感以及连接的电感所组成。 在开启状态的时候Ld起到了很好的作用(Subber吸收的作用),开启的时候由于Ld的作用,有效的限制了di/dt/(同时减少了开启的功耗)。在关断的时候,由于Ld的作用,Vds电压形成明显的下冲(负压)并显著的增加了关断时候的功耗。下面谈一下驱动(直连或耦合的)的一些重要特性和典型环节:

寄生参数提取-CalibrexRC培训班

寄生参数提取-Calibre xRC培训班 (1天) 课程描述: Mentor Graphics 的Calibre 是深亚微米物理验证的工业标准。本次课程将教会用户IC设计流程中有效的使用Calibre xRC进行寄生参数提取。更有相应的练习课程可加深对Calibre xRC概念和技术的理解,增加Calibre xRC的使用经验。 在这次课中可以学到: ●如何编写Calibre xRC所需的文件; ●如何使用Calibre xRC进行寄生参数提取,产生Lumped-C、Distributed RC和RCC网表等; ●如何分析Calibre xRC的报告和了解提取出来的网表结果等; ●了解Calibre xRC与其他EDA供应商的工具的接口。 适合的听众: ●IC设计工程师和版图工程师; ●需要编写rule files的工程师; ●CAD支持小组。 需要的知识: ●IC版图设计的知识; ●使用Calibre LVS的经验; ●了解电路仿真的基本知识; ●了解SPICE网表; ●熟悉UNIX; ●版图验证概念和工具的知识(非必需)。

附录1: Calibre xRC for Parasitic Extraction Training Course Schedule ●Morning ?Introduce Calibre xRC ?Foundational Flow Concepts ?Parasitic Elements and Netlists ?Transistor-Level Extraction ?Lab ●Morning ?Gate-Level Extraction ?Customizing Output Netlists ?Hierarchical Extraction ?Lab ?Q&A

寄生参数的影响和解决方案

版图设计中的寄生参数分析 深圳中兴集成电路设计有限公司金善子 1.引言 正如我们了解的,工艺层是芯片设计的重要组成部分。一层金属搭在另一层金属上面,一个晶体管靠近另一个晶体管放置,而且这些晶体管全部都是在衬底上制作的。只要在工艺制造中引入了两种不同的工艺层,就会产生相应的寄生器件,这些寄生器件广泛地分布在芯片各处,更糟糕的是我们无法摆脱它们。 寄生器件是我们非常不希望出现的,它会降低电路的速度,改变频率响应或者一些意想不到的事情发生。既然寄生是无法避免的,那么电路设计者就要充分将这些因素考虑进去,尽量留一些余量以便把寄生参数带来的影响降至最低。 2.寄生参数的种类 寄生参数主要包括了电容寄生、电阻寄生,和电感寄生。 2.1 寄生电容 图1所呈现的是在不同金属层之间以及它们与衬底之间产生的电容情况: 图(1) 无处不在的寄生电容 由上图我们可以看到寄生电容无处不在。不过需要了解的是即使寄生电容很多,但是如果你的电路设计对电容不十分敏感的时候,我们完全可以忽略它们。但当电路的设计要求芯片速度很快的时候,或者频率很高时,这些寄生的电容就显得格外重要了。一般来说,在一个模拟电路中,只要频率超过20MHz 以上,就必须对它们给予注意,否则,它有可能会毁掉你的整个芯片。 减少寄生电容可以从以下几个方面入手: (1)导线长度 如果你被告知某个区域的寄生参数要小,最直接有效的方法就是尽量减小导线长度,因为导线长度小的话,与它相互作用而产生的电容例如金属或者衬底层的电容就会相应地减小,这个道理显而易见。 (2)金属层的选择 另一种解决的办法则是你的金属层选择。起主要作用的电容通常是导线与衬底之间的电容,图2则说明了衬底电容对芯片的影响。

PCB过孔概念、寄生参数介绍

PCB过孔概念、寄生参数介绍 https://www.doczj.com/doc/4958480.html, 2003-11-18 上海泰齐科技网 一、过孔的概念 过孔(via)是多层PCB的重要组成部分之一,钻孔的费用通常占PCB制板费用的30%到40%。简单的说来,PCB上的每一个孔都可以称之为过孔。从作用上看,过孔可以分成两类:1、用作各层间的电气连接。2、用作器件的固定或定位。如果从工艺制程上来说,这些过孔一般又分为三类,即盲孔(blind via)、埋孔(buried via)和通孔(through via)。盲孔位于印刷线路板的顶层和底层表面,具有一定深度,用于表层线路和下面的内层线路的连接,孔的深度通常不超过一定的比率(孔径)。埋孔是指位于印刷线路板内层的连接孔,它不会延伸到线路板的表面。上述两类孔都位于线路板的内层,层压前利用通孔成型工艺完成,在过孔形成过程中可能还会重叠做好几个内层。第三种称为通孔,这种孔穿过整个线路板,可用于实现内部互连或作为元件的安装定位孔。由于通孔在工艺上更易于实现,成本较低,所以绝大部分印刷电路板均使用它,而不用另外两种过孔。以下所说的过孔,没有特殊说明的,均作为通孔考虑。 从设计的角度来看,一个过孔主要由两个部分组成,一是中间的钻孔(drill hole),二是钻孔周围的焊盘区,见下图。这两部分的尺寸大小决定了过孔的大小。很显然,在高速,高密度的PCB设计时,设计者总是希望过孔越小越好,这样板上可以留有更多的布线空间,此外,过孔越小,其自身的寄生电容也越小,更适合用于高速电路。但孔尺寸的减小同时带来了成本的增加,而且过孔的尺寸不可能无限制的减小,它受到钻孔(drill)和电镀(plating)等工艺技术的限制:孔越小,钻孔需花费的时间越长,也越容易偏离中心位置;且当孔的深度超过钻孔直径的6倍时,就无法保证孔壁能均匀镀铜。比如,现在正常的一块6层PCB板的厚度(通孔深度)为50Mil左右,所以PCB厂家能提供的钻孔直径最小只能达到8Mil。 二、过孔的寄生电容 过孔本身存在着对地的寄生电容,如果已知过孔在铺地层上的隔离孔直径为D2,过孔焊盘的直径为 D1,PCB板的厚度为T,板基材介电常数为ε,则过孔的寄生电容大小近似于: C=1.41εTD1/(D2-D1) 过孔的寄生电容会给电路造成的主要影响是延长了信号的上升时间,降低了电路的速度。举例来说,对于一块厚度为50Mil的PCB板,如果使用内径为10Mil,焊盘直径为20Mil的过孔,焊盘与地铺铜区的距离为32Mil,则我们可以通过上面的公式近似算出过孔的寄生电容大致是: C=1.41x4.4x0.050x0.020/(0.032-0.020)=0.517pF,这部分电容引起的上升时间变化量为: T10-90=2.2C(Z0/2)=2.2x0.517x(55/2)=31.28ps 。从这些数值可以看出,尽管单个过孔的寄生电容引起的上升延变缓的效用不是很明显,但是如果走线中多次使用过孔进行层间的切换,设计者还是要慎重考虑的。 三、过孔的寄生电感 同样,过孔存在寄生电容的同时也存在着寄生电感,在高速数字电路的设计中,过孔的寄生电感带来的危害往往大于寄生电容的影响。它的寄生串联电感会削弱旁路电容的贡献,减弱整个电源系统的滤波效用。我们可以用下面的公式来简单地计算一个过孔近似的寄生电感: L=5.08h[ln(4h/d)+1] 其中L指过孔的电感,h是过孔的长度,d是中心钻孔的直径。从式中可以看出,过孔的直径对电感的影

PCB VLab 提取寄生参数方法

1、打开EMCoS PCB VLab 2、打开ODB++文件 点File选择new,from ODB++archive(*.zip)如图: 这里随便选个例子里的模型,ODB++文件一般的电路板仿真软件都可以生成3、导入模型如下图所示

4、选择显示bottom层(因为是两层的板子,top层为地平面,这样后面容易定义端口)如下 图 5、Click Create Port button on Model and Analysis Toolbar; PCB上面直接选取两点,然后点ok即可。 再选一处(可以多个位置选择)

这样在history栏里能看到生成了两个“Port” 6、点击“check model”,Check Model button 查看没有错误,如下图 7、定义计算频率(寄生参数与频率对应的嘛),点击Task Definition button 点ok 8、点击计算

这时提示该保存了!当然最好开始建立模型后就保存! 保存为“exp1.epcb”文件 9、开始计算了 还挺慢!笔记本上计算的,忍吧! 10、仿真完毕

悲剧了c盘空间不够用了!!! 怎么办? 11、选择tools\options,定义如下把临时文件保存到d盘 12、…… 看错了,d盘空间还是不够! 13、先做到这儿吧!(后面的计算完,自动弹出结果!) 14、小结: 用PCB VLab提取寄生参数的方法大概就是这个流程,计算完毕后自动弹出结果。 这个有什么用? 我们现在看了大量的电磁兼容设计的书籍,都谈到在PCB布线时地和电源尽量粗、尽量短,以减少寄生参数。但是有么有一个衡量标准呢,这个答案应该是肯定的,一般通过简单的计算可以确定寄生参数的阈值,我们实际完用软件仿真一下验证PCB上的寄生参数不要超过这个值就ok了。(个人理解的,欢迎指正!) 本来以为不太复杂,结果做了两个小时,还由于硬件原因没有完成。还是希望给大家带来一些帮助,欢迎大家来交流,谢谢了!

RCD钳位电路分析及参数设计word版本

4 RCD钳位电路 4.1基本原理分析 由于变压器漏感的存在,反激变换器在开关管关断瞬间会产生很大的尖峰电压,使得开关管承受较高的电压应力,甚至可能导致开关管损坏。因此,为确保反激变换器安全可靠工作,必须引入钳位电路吸收漏感能量。钳位电路可分为有源和无源钳位电路两类,其中无源钳位电路因不需控制和驱动电路而被广泛应用。在无源钳位电路中,RCD 钳位电路因结构简单、体积小、成本低而倍受青睐。 RCD钳位电路在吸收漏感能量的时候,同时也会吸收变压器中的一部分储能,所以RCD钳位电路参数的选择,以及能耗到底为多少,想要确定这些情况会变得比较复杂。对其做详细的分析是非常必要的,因为它关系到开关管上的尖峰电压,从而影响到开关管的选择,进而会影响到EMI,并且,RCD电路设计不当,会对效率造成影响,而过多的能量损耗又会带来温升问题,所以说RCD钳位电路可以说是很重要的部分。 图9

图10 图11

反激变换器RCD 钳位电路的能量转移过程可分成5 阶段,详细分析如下:1)t0-t1阶段。开关管T1导通,二极管D1、D2因反偏而截止,钳位电容C1通过电阻R1释放能量,电容两端电压UC下降;同时,输入电压Ui加在变压器原边电感LP两端,原边电感电流ip线性上升,其储能随着增加,直到t1时刻,开关管T1关断,ip增加到最大值。此阶段变换器一次侧的能量转移等效电路如图2(a)所示。 2)t1-t2阶段。从t1时刻开始,开关管进入关断过程,流过开关管的电流id 开始减小并快速下降到零;同时,此阶段二极管D2仍未导通,而流过变压器原边的电流IP首先给漏源寄生电容Cds恒流充电(因LP很大),UDS快速上升(寄生电容Cds较小),变压器原边电感储存能量的很小一部份转移到Cds;直到t2时刻,UDS 上升到Ui+Uf(Uf为变压器副边向原边的反馈电压)。此阶段变换器一次侧的能量转移等效电路如图2(b)所示,钳位电容C1继续通过电阻R1释放能量。 3)t2-t3阶段。t2时刻,UDS上升到Ui+Uf后,D2开始导通,变压器原边的能量耦合到副边,并开始向负载传输能量。由于变换器为稳压输出,则由变压器副边反馈到原边的电压Uf=n(Uo+UD)(Uo为输出电压,UD为二极管D2导通压降,n为变压器的变比)可等效为一个电压源。但由于变压器不可避免存在漏感,因此,变压器原边可等效为一电压源Uf和漏感Llk串联,继续向Cds充电。直到t3时刻,UDS上升到Ui+UCV(UCV的意义如图1(b)所示),此阶段结束。此阶段变换器一次侧的能量转移等效电路如图2(c)所示,钳位电容C1依然通过电阻R1释放能量。由于t1-t3阶段持续时间很短,可以认为该阶段变压器原边峰值电流IP对电容Cds恒流充电。 4)t3-t4阶段。t3时刻,UDS 上升到Ui+UCV,D1开始导通,等效的反馈电压源Uf与变压器漏感串联开始向钳位电容C1充电,因此漏源电压继续缓慢上升(由于C1的容量通常比Cds大很多),流过回路的电流开始下降,一直到t4时刻,变压器原边漏感电流ip下降到0,二极管D1关断,开关管漏源电压上升到最大值Ui+UCP(UCP的意义如图1(b)所示)。此阶段变换器一次侧的能量转移等效电路如图2(d)所示。 5)t4-t5阶段。t4时刻,二极管D1已关断,但由于开关管漏源寄生电容Cds 的电压UDS=Ui+UCP>Ui,将有一反向电压加在变压器原边两端,因此,Cds与变压器原边励磁电感Ls及其漏感Llk开始谐振,其能量转移等效电路如图2(e)所示。谐振期间,开关管的漏源电压UDS逐渐下降,储存于Cds中的能量的一部份将转移到副边,另一部分能量返回输入电源,直到t5时刻谐振结束时,漏源电压UDS稳定在Ui+Uf。由于此阶段二极管D1关断,钳位电容C1通过电阻R1放电,其电压UC 将下降。结合图1和图2进行分析可知:如果反馈电压大于钳位电容电压,则在整个开关关断期间,回馈电压一直在向RCD钳位电路提供能量,而该能量最终将被

版图设计中的寄生参数分析

版图设计中的寄生参数分析 1.引言 正如我们了解的,工艺层是芯片设计的重要组成部分。一层金属搭在另一层金属上面,一个晶体管靠近另一个晶体管放置,而且这些晶体管全部都是在衬底上制作的。只要在工艺制造中引入了两种不同的工艺层,就会产生相应的寄生器件,这些寄生器件广泛地分布在芯片各处,更糟糕的是我们无法摆脱它们。 寄生器件是我们非常不希望出现的,它会降低电路的速度,改变频率响应或者一些意想不到的事情发生。既然寄生是无法避免的,那么电路设计者就要充分将这些因素考虑进去,尽量留一些余量以便把寄生参数带来的影响降至最低。 2.寄生参数的种类 寄生参数主要包括了电容寄生、电阻寄生,和电感寄生。 2.1 寄生电容 图1所呈现的是在不同金属层之间以及它们与衬底之间产生的电容情况: 图(1) 无处不在的寄生电容 由上图我们可以看到寄生电容无处不在。不过需要了解的是即使寄生电容很多,但是如果你的电路设计对电容不十分敏感的时候,我们完全可以忽略它们。但当电路的设计要求芯片速度很快的时候,或者频率很高时,这些寄生的电容就显得格外重要了。一般来说,在一个模拟电路中,只要频率超过20MHz 以上,就必须对它们给予注意,否则,它有可能会毁掉你的整个芯片。 减少寄生电容可以从以下几个方面入手: (1)导线长度 如果你被告知某个区域的寄生参数要小,最直接有效的方法就是尽量减小导线长度,因为导线长度小的话,与它相互作用而产生的电容例如金属或者衬底层的电容就会相应地减小,这个道理显而易见。 (2)金属层的选择 另一种解决的办法则是你的金属层选择。起主要作用的电容通常是导线与衬底之间的电容,图2则说明了衬底电容对芯片的影响。

MOS管寄生参数的影响和其驱动电路要点

Mos管G极寄生震荡: G极必须有驱动电阻才行,否则会有很大的震荡,造成上下桥臂直通。 MOS管用的很广,很想掌握其用法。但一直未找到理想的资料。 看了不少电路,即使是IC驱动的MOS管,其栅极至少串接了一只电阻(虽然IC的资料中说可直接驱动MOS).即便是同一型号的MOS,在同一种电路中,不同的设计者选用的电阻也不同。甚至还有在栅极对地之间加一只电阻。 那么我想说的是:1.既然IC可以直接驱动MOS,IC到MOS之间为什么还要串一电阻呢?该电阻如何确定? 2.栅极对地之间加一电阻有什么作用?如何确定? 希望了解这方面的朋友不吝赐教! A:栅极回路中串联一只电阻通常用来防止可能出现的高频自激振荡 栅极与地之间接一只阻值较大的电阻是栅偏压电阻。 B:彻底明白需要懂得史密斯圆图 1,防止自激不是说在栅极回路串一个就行的,因为它仅是输入电路满足不自激条件 2,在栅极串联或并联一个使输入满足,同时还要在输出串或并联一个电阻,所以输入任意一种加上输出任意一种就可满足条件,这样就有四种组合一共 具体确定方法在史密司圆图上定.再具体的你看射频电路设计原理 MOS管寄生参数的影响和其驱动电路要点 我们在应用MOS管和设计MOS管驱动的时候,有很多寄生参数,其中最影响MOS管开关性能的是源边感抗。寄生的源边感抗主要有两种来源,第一个就是晶圆DIE和封装之间的Bonding线的感抗,另外一个就是源边引脚到地的PCB走线的感抗(地是作为驱动电路的旁路电容和电源网络滤波网的返回路径)。在某些情况下,加入测量电流的小电阻也可能产生额外的感抗。 我们分析一下源边感抗带来的影响: 1.使得MOS管的开启延迟和关断延迟增加 由于存在源边电感,在开启和关段初期,电流的变化被拽了,使得充电和放电的时间变长了。同时源感抗和等效输入电容之间会发生谐振(这个谐振是由于驱动电压的快速变压形成的,也是我们在G端看到震荡尖峰的原因),我们加入的门电阻Rg和内部的栅极电阻Rm都会抑制这个震荡(震荡的Q值非常高)。 我们需要加入的优化电阻的值可以通过上述的公式选取,如果电阻过大则会引起G端电压的过冲(优点是加快了开启的过程),电阻过小则会使得开启过程变得很慢,加大了开启的时间(虽然G端电压会被抑制)。 园感抗另外一个影响是阻碍Id的变化,当开启的时候,初始时di/dt偏大,因此在原感抗上产生了较大压降,从而使得源点点位抬高,使得Vg电压大部分加在电感上面,因此使得G 点的电压变化减小,进而形成了一种平衡(负反馈系统)。 另外一个重要的寄生参数是漏极的感抗,主要是有内部的封装电感以及连接的电感所组成。在开启状态的时候Ld起到了很好的作用(Subber吸收的作用),开启的时候由于Ld的作

【CN109900983A】一种高频变压器寄生参数的测量装置【专利】

(19)中华人民共和国国家知识产权局 (12)发明专利申请 (10)申请公布号 (43)申请公布日 (21)申请号 201910139650.7 (22)申请日 2019.02.26 (71)申请人 北京航空航天大学 地址 100191 北京市海淀区学院路37号 (72)发明人 苏东林 朱凯翔 徐辉 彭珍珍  (74)专利代理机构 北京航智知识产权代理事务 所(普通合伙) 11668 代理人 陈磊 程连贞 (51)Int.Cl. G01R 31/00(2006.01) (54)发明名称一种高频变压器寄生参数的测量装置(57)摘要本发明公开了一种高频变压器寄生参数的测量装置,包括:电源模块、信号发生模块、信号获取模块、信号分析模块以及信号调节模块;信号发生模块向待测高频变压器发送的激励信号为方波信号,无需对待测高频变压器进行扫频测量,属于时域测量,因此,测量次数大大减少,降低了对于测量装置和实际操作的要求,并且,通过信号调节模块调节待测高频变压器输出给信号获取模块的模拟信号,使得信号分析模块可以接收的不同的数字信号并根据不同的数字信号分析得出待测高频变压器的寄生参数,该分析过程并无矢量拟合,与现有的测量结果依赖于矢量拟合的测量方式相比,大大提高了测量结果的精 度。权利要求书2页 说明书8页 附图8页CN 109900983 A 2019.06.18 C N 109900983 A

权 利 要 求 书1/2页CN 109900983 A 1.一种高频变压器寄生参数的测量装置,其特征在于,包括:电源模块、信号发生模块、信号获取模块、信号分析模块以及信号调节模块;其中, 所述电源模块分别与所述信号发生模块、所述信号获取模块和所述信号分析模块电性连接,用于向所述信号发生模块、所述信号获取模块和所述信号分析模块提供电源; 所述信号发生模块通过信号调节模块与待测高频变压器电性连接,用于向所述待测高频变压器发送方波信号; 所述信号获取模块通过信号调节模块与所述待测高频变压器电性连接,所述信号获取模块与所述信号分析模块电性连接,用于接收所述待测高频变压器响应的模拟信号,将所述模拟信号转换成数字信号后发送给所述信号分析模块; 所述信号调节模块,用于调节所述待测高频变压器输出给所述信号获取模块的模拟信号; 所述信号分析模块,用于接收所述信号获取模块发送的所述数字信号,根据所述数字信号分析得出所述待测高频变压器的寄生参数。 2.如权利要求1所述的测量装置,其特征在于,所述信号调节模块,具体包括:基板、位于所述基板上的覆铜层、与所述覆铜层分别电性连接的第一端口和第二端口、与所述覆铜层相互绝缘的第三端口和第四端口、第一连接器、第二连接器以及可变电阻;其中,所述可变电阻连接于所述第三端口与所述第一连接器之间,所述第一连接器与所述信号发生模块电性连接; 所述第四端口通过所述第二连接器与所述信号获取模块电性连接; 所述第一端口、所述第二端口、所述第三端口和所述第四端口分别与所述待测高频变压器的端口电性连接。 3.如权利要求2所述的测量装置,其特征在于,所述信号发生模块,具体包括:信号发生器; 所述信号发生器与所述第一连接器电性连接。 4.如权利要求3所述的测量装置,其特征在于,所述信号获取模块,具体包括:示波器; 所述示波器的输入端与所述第二连接器电性连接,所述示波器的输出端与所述信号分析模块电性连接。 5.如权利要求4所述的测量装置,其特征在于,所述信号分析模块,具体包括:处理器; 所述处理器与所述示波器的输出端电性连接。 6.如权利要求5所述的测量装置,其特征在于,所述电源模块,具体包括:电源转换器; 所述电源转换器分别与所述信号发生器、所述示波器和所述处理器电性连接。 7.如权利要求1-6任一项所述的测量装置,其特征在于,还包括:显示模块; 所述显示模块与所述信号发生模块电性连接,用于显示并设置所述信号发生模块发送的所述方波信号的控制参数。 8.如权利要求7所述的测量装置,其特征在于,所述显示模块与所述信号获取模块电性连接,用于接收并显示所述信号获取模块接收的所述模拟信号,显示并设置所述模拟信号的控制参数。 9.如权利要求7所述的测量装置,其特征在于,所述显示模块与所述信号分析模块电性连接,用于接收并显示所述信号分析模块分析出的所述待测高频变压器的寄生参数。 2

变压器寄生参数

电感器和变压器是磁场最集中的元件,流过变压器次级线圈的电流是感应电流,这个感应电流是因为变压器初级线圈中有电流流过时,产生磁感应而产生的。在电感器和变压器周边的电路,都可看成是一个“变压器”的感应线圈,当电感器和变压器漏感产生的磁力线穿过某个电路时,此电路作为“变压器”的“次级线圈”就会产生感应电流。两个相邻回路的电路,也同样可以把其中的一个回路看成是“变压器”的“初级线圈”,而另一个回路可以看成是“变压器”的“次级线圈”,因此两个相邻回路同样产生电磁感应,即互相产生干扰。 电感、变压器等磁性元件引起的电磁干扰:在开关电源中存在输入滤波电感、功率变压器、隔离变压器、输出滤波电感等磁性元件,隔离变压器初次级之间存在寄生电容,高频干扰信号通过寄生电容耦合到次边;功率变压器由于绕制工艺等原因,原次边耦合不理想而存在漏感,漏电感将产生电磁辐射干扰,另外功率变压器线圈绕组流过高频脉冲电流,在周围形成高频电磁场;电感线圈中流过脉动电流会产生电磁场辐射,而且在负载突切时,会形成电压尖峰,同时当它工作在饱和状态时,将会产生电流突变,这些都会引起电磁干扰; 高频变压器的电磁兼容设计 在高频变压器T1的设计时,尽量选用电磁屏蔽性较好的磁芯材料。 如图所示,C7、C8为匝间耦合电路,C11为绕组间耦合电容,在变压器绕制时,尽量减小分布电容C11,以减小变压器原边的高频干扰耦合到次边绕组。另外为进一步减小电磁干扰,可在原、次边绕组间增加一个屏蔽层,屏蔽层良好接地,这样变压器原、次边绕组对屏蔽层间就形成耦合电容C9、C10,高频干扰电流就通过C9、C10流到大地。 由于变压器是一个发热元件,较差的散热条件必然导致变压器温度升高,从而形成热辐射,热辐射是以电磁波形式对外传播,因此变压器必须有很好的散热条件。 通常将高频变压器封装在一个铝壳盒内,铝盒还可安装在铝散热器上,并灌注电子硅胶,这样变压器即可形成较好的电磁屏蔽,还可保证有较好的散热效果,减小电磁辐射。 在电路系统设计中应遵循“一点接地”的原则,如果形成多点接地,会出现闭合的接地环路,当磁力线穿过该回路时将产生磁感应噪声,实际上很难实现“一点接地”。因此,为降低接地阻抗,消除分布电容的影响而采取平面式或多点接地,利用一个导电平面(底板或多层印制板电路的导电平面层等)作为参考地,需要接地的各部分就近接到该参考地上。为进一步减小接地回路的压降,可用旁路电容减少返回电流的幅值。在低频和高频共存的电路系统中,应分别将低频电路、

使用 Calibre 实现RFCMOS 电路的寄生参量提取

使用Calibre xRC实现RFCMOS电路的寄生参量提取 及后仿真 中国科学院微电子研究所郭慧民 [摘要] Calibre xRC是Mentor Graphics公司用于寄生参量提取的工具,其强大的功能和良好的易用性使其得到业界的广泛认可。本文以采用RFCMOS工艺实现的LNA为例,介绍使用Calibre xRC对RFCMOS电路寄生参量提取,以Calibreview 形式输出以及在Virtuoso的ADE中直接后仿真的流程。本文还将讨论Calibre xRC特有的XCELL方式对包含RF器件的电路仿真结果的影响。 采用Calibre xRC提取寄生参量 采用RFCMOS工艺设计低噪声放大器(LNA),其电路图如图1所示,版图如图2所示。 图1 LNA的电路图

图2 LNA的版图 Calibre支持将其快捷方式嵌入在Virtuoso平台中。用户只需在自己.cdsinit文件中加入以下一行语句: load( strcat( getShellEnvVar("MGC_HOME") "/lib/calibre.skl" )) 就可以在virtuoso的菜单中出现“calibre”一项,包含如下菜单: 点击Run PEX,启动Calibre xRC的GUI,如图3所示。Outputs菜单中的Extraction Type里,第一项通常选择Transistor Level或Gate Level,分别代表晶体管级提取和门级提取。第二项可以选择R+C+CC,R+C,R,C+CC,其中R 代表寄生电阻,C代表本征寄生电容,CC代表耦合电容。第三项可以选择No Inductance,L或L+M,分别代表不提取电感,只提取自感和提取自感与互感。这些设置由电路图的规模和提取的精度而定。 在Format一栏中,可以选择SPECTRE,ELDO,HSPICE等网表形式,也可以

基于Altium Designer与Ansys Q3D的PCB寄生参数提取教程

基于Altium Designer与Ansys Q3D的 PCB寄生参数提取教程 silver杉 摘要:本文简单介绍了使用Altium Designer (AD)进行PCB设计,并导入Ansys Q3D中进行寄生参数的提取流程。由于AD与Q3D分属于Altium与Ansys两家不同公司,其接口做得并不理想,不能直接导入。因此需要借助Ansys开发的两个中间软件AnsoftLinks和ECAD (此前Q3D,AnsoftLinks和ECAD 均为Ansoft公司产品,后被Ansys收购归入其电磁仿真工具AnsysEM旗下)。电脑平台与软件版本如下所示: 电脑平台:Windows 7企业版64位 Altium Designer版本:14.1.5 Q3D版本:12.0, 64位 AnsoftLinks版本:6.0 ECAD版本:7.0 所有软件安装包均已上传到百度网盘。 1.安装AD

安装AD过程中,需要在这一步选择Importers\Exporters-Ansoft,否则无法导出PCB文件。当然也可以在安装完成后在DXP-Extensions & Updates中添加所需插件。后续破解请参照readme.txt文件。 2.安装Q3D 安装步骤省略,后续破解请参照readme.txt文件。 3.安装AnsoftLinks 先安装6.0,如果在安装过程中出现以上对话框请自行忽略,安装完整后可选择安装6.0.1补丁,后续破解请参照readme.txt文件。 4.安装ECAD 直接安装,无需破解。 5.PCB寄生参数提取流程 a.打开AD,选中PCB文件,右键选择Save As,在弹出对话框中Save as type选中Export Ansoft Neutral File (*.anf)选项并保存生成anf文件。

FFT处理器寄生参数提取和静态时序分析(精)

FFT处理器寄生参数提取和静态时序分析 摘要:本文在简要介绍寄生参数提取工具Star-RCXT和静态时序分析工具PrimeTime的基础上,对已通过物理验证工具Calibre DRC和LVS的FFT处理器版图用Star-RCXT工具进行了基于CCI的寄生参数提取,得到内部互连网络的详细寄生电容和电阻值。最后,用 PrimeTime工具进行了精确的版图时序分析。 关键词:FFT处理器;Star_RCXT;寄生参数抽取;Primetime;静态时序分析 引言 对于应用0.18mm及以下工艺的设计而言,二维提取进行Sign-off静态时序分析就显得有些粗略,而且精度不够。这是因为对于0.18mm及以下工艺,毗邻金属连线所产生的耦合电容在设计中已经成为不得不考虑的寄生参数,于是先进的寄生参数提取工具就可以检测到相同层次之间产生耦合电容的影响。因此对0.18mm 及以下工艺的设计,通过物理验证,在进行流片之前,需要用专门的寄生参数抽取工具将每一个节点的RC网络信息写成文件传递给设计,即所谓的反标,再用静态时序分析工具做精确的版图时序分析。 Star-RCXT工具介绍 Star- RCXT以其高精度、友好的用户界面以及和其它工具良好的结合成为目前业界比较流行的一款寄生参数提取工具,是专门针对0.18mm及以下工艺的寄生参数提取所设计的。它采用了二点五维的几何提取技术,达到了三维的提取精度,但比三维的抽取工具速度快很多,能够快速准确地对上百万门的设计进行全局的寄生参数提取。 用Star-RCXT进行寄生参数提取的条件 应用Star-RCXT进行精细寄生参数提取,还需要两个与工艺参数有关的文件:mapping文件和ITF(Interconnect Technology Format)文件。ITF文件是由晶圆代工厂直接提供的,它包含的信息主要有:工艺采用的各个层次(包括电介质、过孔和金属导线等),各个层次在工艺流程中的厚度、宽度等物理尺寸,各个层次的电气参数(如介电常数、方块阻值等)。Star-RCXT不能直接使用ITF格 式的文件,它提供grdgenxo 命令将晶圆代工厂提供的ITF格式文件转换成 Star-RCXT所需要的.nxtgrd格式文件,Mapping文件用于实现这种转换过程,将目标.nxtgrd文件中各个层次名称与设计数据库中的层名称相对应起来。 Star-RCXT支持的格式 寄生参数提取工具Star-RCXT支持多种格式输入,主要有Milkyway、Lef/Def、Hercules和Calibre格式。也支持多种格式输出,如输出格式为IEEE 1481标

相关主题
文本预览
相关文档 最新文档