当前位置:文档之家› 电气工程及其自动化【本】-数字电子技术-2017-9-20 15-39-56试卷

电气工程及其自动化【本】-数字电子技术-2017-9-20 15-39-56试卷

电气工程及其自动化【本】-数字电子技术-2017-9-20 15-39-56试卷
电气工程及其自动化【本】-数字电子技术-2017-9-20 15-39-56试卷

课程名称:数字电子技术

一、填空题(本题共6道小题,每小题5分,共30分) 1、“有0出1,全1为0”是_____运算的运算规则。 2、“与运算转换成或运算,或运算转换成与运算,逻辑1转换成逻辑0,逻辑0转换成逻辑1,变量不变”是_____规则的一般步骤。

3、二进制数101110 . 1101转换为八进制是_____,转换为十进制是_____,转换为十六进制是_____,转换为8421BCD 码是_____。

4、“原变量转换成反变量,反变量转换成原变量,与运算转换成或运算,或运算转换成与运算,逻辑1转换成逻辑0,逻辑0转换成逻辑1”是_____规则的一般步骤。

5、数字电路一般分为_____和_____逻辑电路两大类。

6、ROM 是______的缩写,掉电后,其内部存储的信息将______。

二、不定项选择题(本题共5道小题,每小题3分,共15分)至少有一个正确答案,错选、多选、少选或未选均无分

1、某逻辑函数以不同变量顺序作卡诺图,下列说法中正确的是( )。 A 逻辑1的数量和位置均不变。

B 逻辑1的数量不变,位置一定变化。

C 逻辑1的数量不变,位置可能变化。

D 逻辑1的数量和位置均变化。

2、比十进制数92.6小的数有( )。

A 二进制数1011110 . 11

B 八进制数135 . 2

C 十六进制数59 . F

D 8421BCD 码1001,0010 . 0100 3、( )触发器仅仅理论存在,没有实际的芯片。

A D 触发器

B JK 触发器

C T 触发器

D T ’触发器 4、变量X 和Y 互补的充分必要条件有( )。

A 0=+Y X

B 1=+Y X

C 0=XY

D 1=XY 5、具备保持功能的触发器有( )。 A RSFF B DFF C JKFF D TFF

三、化简题(本题共3道小题,每小题5分,共15分) 1、利用卡诺图法将函数∑∑+=

)14,9,8,7,4,2()15,13,11,10,5,1(),,,(d m D C B A Y 化简为最简与或表达式。

2、利用卡诺图法将函数∑∑+=

)15,13,12,7,6()14,10,9,5,4,3,1,0(),,,(d m D C B A Y 化简

为最简与或表达式。

3、利用公式法将函数AB BC BD AC D C B A F ???=),,,(化简为最简与或表达式。 四、组合逻辑电路设计题(本题共1道小题,每小题10分,共10分) 1、用译码器74138及合适的逻辑门设计函数∑=

)7,5,3,1,0(),,(m C B A Y ,作逻辑图。

五、时序逻辑电路分析题(本题共1道小题,每小题20分,共20分) 1、分析下图所示电路的功能。

求:1)时钟方程:=2CP ,=1CP ,=0CP

驱动方程:=2J =2K 1,=1J =1K ,=0J ,10=K 输出方程:=Z

2)次态方程:=+1

2

n Q ,=+11n Q ,=+1

0n Q

3

4)功能描述:

步的自启动功能的进制计数器,Z是信号。

六、波形分析题(本题共1道小题,每小题10分,共10分)

1、如下图所示,已知各触发器的初态均为0,求各触发器在CP作用下的输出波形。

数字电子技术基础第三版第三章答案

第三章组合逻辑电路 第一节重点与难点 一、重点: 1.组合电路的基本概念 组合电路的信号特点、电路结构特点以及逻辑功能特点。 2.组合电路的分析与设计 组合电路分析是根据已知逻辑图说明电路实现的逻辑功能。 组合电路设计是根据给定设计要求及选用的器件进行设计,画出逻辑图。如果选用小规模集成电路SSI,设计方法比较规范且容易理解,用SSI设计是读者应掌握的最基本设计方法。由于设计电路由门电路组成,所以使用门的数量较多,集成度低。 若用中规模集成电路MSI进行设计,没有固定的规则,方法较灵活。 无论是用SSI或MSI设计电路,关键是将实际的设计要求转换为一个逻辑问题,即将文字描述的要求变成一个逻辑函数表达式。 3.常用中规模集成电路的应用 常用中规模集成电路有加法器、比较器、编码器、译码器、数据选择器和数据分配器等,重要的是理解外部引脚功能,能在电路设计时灵活应用。 4.竞争冒险现象 竞争冒险现象的产生原因、判断是否存在竞争冒险现象以及如何消除。 二、难点: 1.组合电路设计 无论是用SSI还是用MSI设计电路,首先碰到的是如何将设计要求转换为逻辑问题,得到明确的真值表,这一步既是重点又是难点。总结解决这一难点的方法如下: (1)分析设计问题的因果关系,分别确定输入变量、输出变量的个数及其名称。 (2)定义逻辑变量0、1信号的含义。无论输入变量、输出变量均有两个状态0、1,这两个状态代表的含义由设计者自己定义。 (3)再根据设计问题的因果关系以及变量定义,列出真值表。 2.常用组合电路模块的灵活应用 同样的设计要求,用MSI设计完成后,所得的逻辑电路不仅与所选芯片有关,而且还与设计者对芯片的理解及灵活应用能力有关。读者可在下面的例题和习题中体会。 3.硬件描述语言VHDL的应用 VHDL的应用非常灵活,同一个电路问题可以有不同的描述方法,初学者可以先仔细阅读已有的程序实例,再自行设计。 三、考核题型与考核重点 1.概念与简答 题型1为填空、判断和选择; 题型2为叙述基本概念与特点。 建议分配的分数为3~6分。 2.综合分析与设计

数字电子技术基础答案

Q 1 CP Q 1 Q 0 &&D 1D 0第一组: 计算题 一、(本题20分) 试写出图示逻辑电路的逻辑表达式,并化为最简与或式。 解:C B A B A F ++=C B A B A F ++= 二、(本题25分) 时序逻辑电路如图所示,已知初始状态Q 1Q 0=00。 (1)试写出各触发器的驱动方程; (2)列出状态转换顺序表; (3)说明电路的功能; 解:(1)100Q Q D =,101Q Q D =; (2)00→10→01 (3)三进制移位计数器 三、(本题30分)

由集成定时器555组成的电路如图所示,已知:R 1=R 2=10 k Ω,C =5μF 。 (1)说明电路的功能; (2)计算电路的周期和频率。 解:(1)多谐振荡器电路 (2)T 1=7s , T 2=3.5s 四、(本题25分) 用二进制计算器74LS161和8选1数据选择器连接的电路如图所示, (1)试列出74LS161的状态表; (2)指出是几进制计数器; (3)写出输出Z 的序列。 "1" 解: (1)状态表如图所示 (2)十进制计数器 (3)输出Z 的序列是0010001100 C R R CC u o

第二组: 计算题 一、(本题20分) 逻辑电路如图所示,试答: 1、写出逻辑式并转换为最简与或表达式,2、画出用“与”门及“或”门实现的逻辑图。 B 二、(本题25分) 试用与非门设计一个三人表决组合逻辑电路(输入为A、B、C,输出为F),要求在A有一票决定权的前提下遵照少数服从多数原则,即满足:1、A=1时,F一定等于1,2、A、B、C中有两2个以上等于1,则输出F=1。 试:(1)写出表决电路的真值表; (2)写出表决电路的逻辑表达式并化简; (3)画出用与非门设计的逻辑电路图。 解: (1)真值表

数字电子技术基础试题及答案

数字电子技术基础期末考试试卷 课程名称 数字电子技术基础 A 卷 考试形式 闭 卷 考核类型 考试 本试卷共 4 大题,卷面满分100分,答题时间120分钟。 一、填空题:(每小题2分,共10分) 1.二进制数(1011.1001)2转换为八进制数为 (13.41) ,转换为十六进为 B9 。 2.数字电路按照是否具有记忆功能通常可分为两类: 组合逻逻辑电路 、 时序逻辑电路 。 3.已知逻辑函数F =A ⊕B ,它的与非-与非表达式为 ,或与非表达式 为 。 4.5个变量可构成 32 个最小项,变量的每一种取值可使 1 个最小项的值为1。 5.555定时器构成的施密特触发器,若电源电压V CC =12V ,电压控制端经0.01μF 电容接地,则上触发电平U T+ = V ,下触发电平U T –= V 。 二、化简题:(每小题10分,共20分) 1.用代数法将下面的函数化为最简与或式:F=C ·[ABD BC BD A +++(B+C)D]

2. 用卡诺图法将下列函数化简为最简与或式: F(A 、B 、C 、D)=∑m (0,2,4,5,7,13)+∑d(8,9,10,11,14,15) 三、分析题:(每小题10分,共40分) 1.试分析题1图所示逻辑电路,写出逻辑表达式和真值表,表达式化简后再画出新的逻辑图。 题 1图 得分 评卷人

2.74161组成的电路如题 2 图所示,分析电路,并回答以下问题: (1)画出电路的状态转换图(Q 3Q 2Q 1Q 0); (2)说出电路的功能。(74161的功能见表) 题 2 图 …………………密……………………封…………………………装…………………订………………………线………………………

数字电子技术基础习题及答案..

; 数字电子技术基础试题 一、填空题 : (每空1分,共10分) 1. 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。【 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设图1中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 图 1

2.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 ( C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是()。 A、通过大电阻接地(>Ω) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 " 5.请判断以下哪个电路不是时序逻辑电路()。图2 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。图2 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如图 3所示,则该电路为()。

图3 . A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 D、10位D/A转换器 9、已知逻辑函数与其相等的函数为()。 A、B、C、D、 10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。 \ A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式 Y= A + 2、用卡诺图法化简为最简或与式

数字电子技术基础试题及答案

数字电子技术基础试题 及答案 TTA standardization office【TTA 5AB- TTAK 08- TTA 2C】

D C B A D C A B ++《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1.有一数码,作为自然二进制数时,它相当于十进制数(147),作为8421BCD 码时,它相当于十 进制数(93 )。 2.三态门电路的输出有高电平、低电平和(高阻)3种状态。 3.TTL 与非门多余的输入端应接(高电平或悬空)。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接(高)电平。 5. 已知某函数?? ? ? ?+??? ? ?++=D C AB D C A B F ,该函数的反函数F = ( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( 7)位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为(5 )V ,其输出高电平为()V ,输出低电平为()V , CMOS 电路的电源电压为( 3--18) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( 11)根地址线,有(16)根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( 100)位。 11. Y 3 =(AB )。 12. 13 二、分) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( A ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7)

数字电子技术基础习题与答案

数字电子技术试卷(1) 一.填空(16) 1.十进制数123的二进制数是 1111011 ;十六进制数是 7B 。 2.1是8421BCD 码,其十进制为 861 。 3.逻辑代数的三种基本运算是 与 , 或 和 非 。 4.三态门的工作状态是 0 , 1 , 高阻 。 5.描述触发器逻辑功能的方法有 真值表,逻辑图,逻辑表达式,卡诺图,波形图 。 6.施密特触发器的主要应用是 波形的整形 。 7.设4位D/A 转换器的满度输出电压位30伏,则输入数字量为1010时的输出模拟电压为 。 8.实现A/D 转换的主要方法有 , , 。 三.化简逻辑函数(14) 1.用公式法化简- -+++=A D DCE BD B A Y ,化为最简与或表达式。 解;D B A Y +=- 2.用卡诺图化简∑∑=m d D C B A Y ),,,,()+,,,,(84210107653),,,(,化为最简与或表达式。 四.电路如图1所示,要求写出输出函数表达式,并说出其逻辑功能。(15) 解;C B A Y ⊕⊕=, C B A AB C )(1++=,全加器,Y 为和,1C 为进位。 五.触发器电路如图2(a ),(b )所示,⑴写出触发器的次态方程; ⑵对应给定波形画 出Q 端波形(设初态Q =0)(15) 解;(1)AQ Q Q n +=- +1,(2)、A Q n =+1 六.试用触发器和门电路设计一个同步的五进制计数器。(15) 七.用集成电路定时器555所构成的自激多谐振荡器电路如图3所示,试画出V O ,V C 的工作 波形,并求出振荡频率。(15)

数字电子技术基础期末考试试卷及答案1[1]

数字电子技术基础试题(一) 填空题: (每空1数字电子技术基础试题(一) 一、分,共10分) 1.(30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 1 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为12 条、数据线为 8 条。 二、选择题:(选择一个正确的答案填入括号内,每题3分,共30分) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:(C )图。

2.下列几种TTL电路中,输出端可实现线与功能的电路是(D)。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是(D )。 A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) B、D、通过电阻接V CC 4.图2所示电路为由555定时器构成的(A )。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路(C )。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是(A )。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、D、双积分A/D转换器 7.某电路的输入波形u I 和输出波形u O 如下图所示,则该电路为(C)。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用(C )。

数字电子技术基础(1)

东 北 大 学 继 续 教 育 学 院 数字电子技术基础 I 试 卷(作业考核 线下) B 卷(共 4 页) 注:请您单面打印,使用黑色或蓝色笔,手写完成作业。杜绝打印,抄袭作业。 一、(12分)化简逻辑函数 1、(4分)用公式法化简逻辑函数AB ABC C AB F ?+=,并用最少量与非门实现该逻辑函数。 答案: 2、(8分)某电路的输入A 、B 、C 和输出F 的波形如图所示,请列出真值表、化简该逻辑 函数,并用最少量二输入与非门实现该电路。 二、(10分), 对CMOS 电路,图中 给予标注,未加标注的为TTL 电路。 答案:A F =1 12=F A F =3 AB F =4 B A F +=5 三、(12分)用4选1数据选择器设计一个奇校验电路,输入为3位二进制代码。当输入代码中有偶数个1时或者没有1时输出为0。要求列出真值表、写出逻辑函数表达式,画出逻辑图。4选1数据选择器的逻辑符号如图所示。 答案:真值表 ABC AB ABC ABC AB AB ABC AB C AB AB AB AB ABC C AB AB ABC C AB F =?+?=?++=?+?+=?+=)(

逻辑函数表达式为ABC C B A C B A C B A F +++= 四、(10分)8线-3线优先编码器 答案:74148和与非门构成了十线-五、(10A 、B 为输入端。要求写出S 1、S 0答案:由图可知: B A S S B A S S AB S B A S S AB S S A S S F 010*********+++++?= 由此知 六、(8分)试用图(a)路。要求将图(a)中的触发器和门电路连接成一个完整的电路。 Q Q CP F 图(a) CP Q F 图(b) 答案:由波形图看出触发器的状态在每个CP 下降沿翻转,将JK 触发器的输入端同时接1即可。CP Q CP Q F ⊕=⊕= 七、(6分)一个D 触发器转换成T 触发器的电路如图2所示,试确定图中虚框内逻辑门的 类型,简单说明理由。 图2 答案:逻辑门的类型是同或门。原因是n n n Q T Q T D Q ⊕=⊕==+1。 八、(6 分)画出图 (a)电路中触发器输出端的波形。输入信号A 、B 的波形如图 (b)所示。触 发器的初始状态Q=0。 CP 图(a ) 图(b ) A C F

数字电子技术基础第三版第一章答案

第一章数字逻辑基础 第一节重点与难点 一、重点: 1.数制 2.编码 (1) 二—十进制码(BCD码) 在这种编码中,用四位二进制数表示十进制数中的0~9十个数码。常用的编码有8421BCD码、5421BCD码和余3码。 8421BCD码是由四位二进制数0000到1111十六种组合中前十种组合,即0000~1001来代表十进制数0~9十个数码,每位二进制码具有固定的权值8、4、2、1,称有权码。 余3码是由8421BCD码加3(0011)得来,是一种无权码。 (2)格雷码 格雷码是一种常见的无权码。这种码的特点是相邻的两个码组之间仅有一位不同,因而其可靠性较高,广泛应用于计数和数字系统的输入、输出等场合。 3.逻辑代数基础 (1)逻辑代数的基本公式与基本规则 逻辑代数的基本公式反映了二值逻辑的基本思想,是逻辑运算的重要工具,也是学习数字电路的必备基础。 逻辑代数有三个基本规则,利用代入规则、反演规则和对偶规则使逻辑函数的公式数目倍增。 (2)逻辑问题的描述 逻辑问题的描述可用真值表、函数式、逻辑图、卡诺图和时序图,它们各具特点又相互关联,可按需选用。 (3)图形法化简逻辑函数 图形法比较适合于具有三、四变量的逻辑函数的简化。 二、难点: 1.给定逻辑函数,将逻辑函数化为最简 用代数法化简逻辑函数,要求熟练掌握逻辑代数的基本公式和规则,熟练运用四个基本方法—并项法、消项法、消元法及配项法对逻辑函数进行化简。 用图形法化简逻辑函数时,一定要注意卡诺图的循环邻接的特点,画包围圈时应把每个包围圈尽可能画大。 2.卡诺图的灵活应用 卡诺图除用于简化函数外,还可以用来检验化简结果是否最简、判断函数间的关系、求函数的反函数和逻辑运算等。 3.电路的设计 在工程实际中,往往给出逻辑命题,如何正确分析命题,设计出逻辑电路呢?通常的步骤如下:

数字电子技术基础

《数字电子技术基础》课程学习指导资料 编写贾绍芝 适用专业:电气工程及其自动化 适用层次:专升本(业余) 四川大学网络教育学院 二零零三年十一月

《数字电子技术基础》课程学习指导资料 编写:贾绍芝 审稿(签字): 审批(主管教学负责人签字): 本课程学习指导资料根据该课程教学大纲的要求,参照现行采用教材《电子技术基础—数字部分第四版》(康华光主编,高等教育出版社,2000年)以及课程学习光盘,并结合远程网络业余教育的教学特点和教学规律进行编写,适用于电气工程及其自动化专科升本科学生。 第一部分课程的学习目的及总体要求 一、课程的学习目的 通过本课程的学习,使学生掌握数字电子技术的基础理论,掌握各类元件的特点及应用,培养学生应用数字电路元件和常用集成器件设计组合电路和时序逻辑电路的能力,同时掌握振荡器和模/数及数/模转换器的应用知识。 二、本课程的总体要求 1.基本要求: 学生应在具备电工原理的基础知识和模拟电路基本概念的前提下,掌握模拟电路与数字电路的区别;掌握数制的概念和转换方法;掌握组合逻辑电路的基本特点与设计方法及典型组合电路的工作原理与分析方法;掌握时序逻辑电路的基本特点与设计方法及典型时序电路的工作原理与分析方法;掌握常用数字电路的的特点与使用方法并完成课程设计的有关内容与要求。 2.技能要求: 1)元器件的识别; 2)电子线路的焊接; 3)电路的调试与故障的分析和排除; 4)原理图的设计与绘制。 3.素质要求:

培养良好的分析问题和解决问题的能力,能综合运用所学内容独立完成课程设计,善于归纳总结,具有创新意识。 第二部分课程学习的基本要求及重点难点内容分析 第一章数字逻辑基础 1.本章学习要求 1)应熟悉的内容 数字电子技术的发展与应用;数字系统的基本概念;一些常用术语或定义二值数字逻辑、逻辑电平、脉冲波形和数字波形等。建立逻辑问题的描述的概念。 2)应掌握的内容 理解“基数”、“权”的概念;各种代码的特点。初步建立逻辑变量与逻辑函数和与、或、非三种基本逻辑运算的概念。 3)应熟练掌握的内容 各种代码和各种数制之间的转换。 2. 本章重点难点分析 重点:各种代码与各种数制之间的转换。 难点:数与码的概念;逻辑问题的描述。 3. 本章典型例题: 例1:选择题 1)三位二进制数码可以表示的状态是()。 A. 2 B. 4 C. 6 D. 8 答案:D 2)十进制数25转换为二进制数为()。 A. 110001 B. 10111 C. 10011 D. 11001答案:D 3) BCD代码为(100011000100)表示的数为(594)10,则该BCD代码为()。 A. 8421BCD码 B.余3 BCD码 C. 5421BCD码 D. 2421BCD码答案:C

数字电子技术基础习题及答案

《数字电子技术》习题 一. 单项选择题: 1.十进制数128的8421BCD码是()。 A.10000000 B. 000100101000 C.100000000 D.100101000 2.已知函数F的卡诺图如图1-1, 试求其最简与或表达式 3. 已知函数的反演式为 ,其原函数为()。 A. B. C. D. 4.对于TTL数字集成电路来说,下列说法那个是错误的:(A)电源电压极性不得接反,其额定值为5V; (B)不使用的输入端接1; (C)输入端可串接电阻,但电阻值不应太大; (D)OC门输出端可以并接。 5.欲将正弦信号转换成与之频率相同的脉冲信号,应用 A.T,触发器 B.施密特触发器 C.A/D转换器 D.移位寄存器 6.下列A/D转换器中转换速度最快的是()。 A.并联比较型 B.双积分型 C.计数型 D.逐次渐近型 7. 一个含有32768个存储单元的ROM,有8个数据输出端,其地址输入端有()个。 A. 10 B. 11 C. 12 D. 8

8.如图1-2,在TTL门组成的电路中,与非门的输入电流为I iL≤–1mA?I iH≤20μA。G1输出低电平时输出电流的最大值为 I OL(max)=10mA,输出高电平时最大输出电流为 I OH(max)=–0.4mA 。门G1的扇出系数是()。 A. 1 B. 4 C. 5 D. 10 9.十数制数2006.375转换为二进制数是: A. 11111010110.011 B. 1101011111.11 C. 11111010110.11 D. 1101011111.011 10. TTL或非门多余输入端的处理是: A. 悬空 B. 接高电平 C. 接低电平 D.接”1” 二.填空题(每小题2分,共20分) 1.CMOS传输门的静态功耗非常小,当输入信号的频率增加时,其功耗将______________。 2. 写出四种逻辑函数的表示方法: __________________________________________________________ _____; 3.逻辑电路中,高电平用1表示,低电平用0表示,则称为___逻辑; 4. 把JK触发器改成T触发器的方法是_____________。 5. 组合逻辑电路是指电路的输出仅由当前的_____________决定。 6. 5个地址输入端译码器,其译码输出信号最多应有 _____________个。 7. 输入信号的同时跳变引起输出端产生尖峰脉冲的现象叫做 _____________。 8.一片ROM有10根地址线,8根数据输出线,ROM共有________个存储单元。 9.N个触发器组成的计数器最多可以组成_____________进制的计数器。 8. 基本RS触发器的约束条件是_____________。 三.电路分析题(36分)

数字电子技术基础知识总结

数字电子技术基础知识总结引导语:数字电子技术基础知识有哪些呢?接下来是小编为你带来收集整理的文章,欢迎阅读! 处理模拟信号的电子电路。“模拟”二字主要指电压(或电流)对于真实信号成比例的再现。 其主要特点是: 1、函数的取值为无限多个; 2、当图像信息和声音信息改变时,信号的波形也改变,即模拟信号待传播的信息包含在它的波形之中(信息变化规律直接反映在模拟信号的幅度、频率和相位的变化上)。 3.初级模拟电路主要解决两个大的方面:1放大、2信号源。 4、模拟信号具有连续性。 用数字信号完成对数字量进行算术运算和逻辑运算的电路称为数字电路,或数字系统。由于它具有逻辑运算和逻辑处理功能,所以又称数字逻辑电路。 其主要特点是: 1、同时具有算术运算和逻辑运算功能 数字电路是以二进制逻辑代数为数学基础,使用二进制数字信号,既能进行算术运算又能方便地进行逻辑运算(与、或、非、判断、比较、处理等),因此极其适合于运算、比较、存储、传输、控制、决策等应用。

2、实现简单,系统可靠 以二进制作为基础的数字逻辑电路,可靠性较强。电源电压的小的波动对其没有影响,温度和工艺偏差对其工作的可靠性影响也比模拟电路小得多。 3、集成度高,功能实现容易 集成度高,体积小,功耗低是数字电路突出的优点之一。电路的设计、维修、维护灵活方便,随着集成电路技术的高速发展,数字逻辑电路的集成度越来越高,集成电路块的功能随着小规模集成电路(SSI)、中规模集成电路(MSI)、大规模集成电路(LSI)、超大规模集成电路(VLSI)的发展也从元件级、器件级、部件级、板卡级上升到系统级。电路的设计组成只需采用一些标准的集成电路块单元连接而成。对于非标准的特殊电路还可以使用可编程序逻辑阵列电路,通过编程的方法实现任意的逻辑功能。 模拟电路是处理模拟信号的电路;数字电路是处理数字信号的电路。 模拟信号是关于时间的函数,是一个连续变化的量,数字信号则是离散的量。因为所有的电子系统都是要以具体的电子器件,电子线路为载体的,在一个信号处理中,信号的采集,信号的恢复都是模拟信号,只有中间部分信号的处理是数字处理。具体的说模拟电路主要处理模拟信号,不随时间变化,时间域和值域上均连续的信号,如语音信号。而数

最新数字电子技术第三章(组合逻辑电路)作业及答案

第三章(组合逻辑电路)作业及答案 1、写出图3-1所示组合逻辑电路中输入输出的逻辑关系式和真值表。 图3-1:组合逻辑电路逻辑图 解:(1)C A A AC B A Y +=++=1 (2)D B C B A CD B A CD B A D BD CD A B A Y ++=++=+=++=)( 2 2、试分析图3-2所示组合逻辑电路,写出其逻辑函数表达式。若设S 1﹑S 0为功能控制信号,A ﹑B 为输入信号,L 为输出,说明当S 1﹑S 0取不同信号值时,电路所实现的逻辑功能。 图3-2:组合逻辑电路逻辑图 3、试用与门、或门和非门,或者与门、或门和非门的组合来实现如下各逻辑函数关系,画出相应的逻辑电路图。 (1)1 Y AB BC =+ A B S 1 S =1 =1 & =1

(2)2Y A C B =+() (3)3Y ABC B EF G =++() & & 1 ≥Y1. 1 A B C . & 1 ≥Y2 . 1 A B C & 1 ≥1 ≥& & 1 A B C . E F G .Y3 . . . 4、试用门电路设计4线-2线优先编码器,输入、输出信号都是高电平有效,要求任一按键按下时,G S 为1,否则G S =0;还要求没有按键按下时,E O 信号为1,否则为0。

5、试用逻辑门电路设计一个2选1数据选择器,输入信号为A、B,选择信号为S,输出信号为Y,要求写出真值表、逻辑函数表达式和画出逻辑电路图。 6、某公司3条装配线各需要100kW电力,采用两台发电动机供电,一台100kW,另外一台是200kW,3条装配线不同时开工,试设计一个发电动机控制电路,可以按照需求启动发电动机以达到节电的目的。

数字电子技术基础答案

数字电子技术基础答案 第1章 自测题 1.1填空题 1. 100011.11 00110101.01110101 11110.01 1E.4 2. 4 3. n 2 4. 逻辑代数 卡诺图 5.)(D C B A F += )(D C B A F +=' 6.))((C B D C B A F +++= 7. 代数法 卡诺图 8. 1 1.2判断题 1. √ 2.√ 3. × 1.3选择题 1.B 2.C 3.C 1.4 A F =1⊙B AB F =2 B A F +=3 1.5 1.6 C L = 1.7 AB C B A BC Y ++= 习题 1.1 当000012=A A A ,7A 到3A 有1个不为0时,就可以被十进制8整除 1.2 (a)AC BC AB L ++=(b )B A AB L += (c)C B A S ⊕⊕= AC BC AB C ++=0 1.3略 1.4 (1) )(B A D C F ++=)(1 ))((1B A D C F ++=' (2) )(B A B A F ++=)(2 ))((2B A B A F ++=' (3) E D C B A F =3 DE C AB F =' 3

(4) )()(4D A B A C E A F +++=)( ))()((4D A C AB E A F +++=' 1.5 C B A F ⊕⊕= 1.6 (1) B A C B C A L ++= (2) D B C B D C A L +++= (3) AD L = (4) E ABCD L = (5) 0=L 1.7 C B A BC A C AB ABC C B A L +++=),,( 1.8(1) ABD D A C F ++=1 (2) BC AB AC F ++=2 (3) C A B A B A F ++=3 (有多个答案) (4) C B A D C AB C A CD F +++=4 (5) C B A ABD C B A D B A F +++=5 (6) 16=F 1.9 (1) AD D C B B A F ++=1 (2) B A AC F +=2 (3) D A D B C B F ++=3 (4) B C F +=4 1.10 (1) C A B F +=1 (2) B C F +=2 (3) D A B C F ++=3 (4) C B A D B D C F ++=4 1.11 C A B A D F ++= 1.12 (1) D B A D C A D C B F ++=1(多种答案) (2) C B BCD D C D B F +++=2 (3) C B C A D C F ++=3 (4) A B F +=4 (5) BD D B F +=5 (6) C B D A D C A F ++=6(多种答案) (7) C A D B F +=7(多种答案) (8) BC D B F +=8(多种答案) (9) B D C F +=9 1.13 略 第2章 自测题 2.1 判断题 1. √ 2. √ 3. × 4. √ 5. √ 6. √ 7. × 8. √ 9. × 10√ 2.2 选择题 1.A B 2.C D 3.A 4.B 5.B 6.A B D 7.C 8.A C D 9.A C D 10.B 习题 2.1解:ABC Y =1

数字电子技术讲义 第三章 组合逻辑电路

第三章 组合逻辑电路 根据组合逻辑电路的不同特点,数字电路分成:组合逻辑电路(组合电路) 时序逻辑电路(时序电路) 组合逻辑电路的特点:任意时刻的输出仅仅取决于该时刻的输入,与电路原来状态无关。 ()n i i A A A f F Λ21,=(i =1,2,…m ) 3.1组合逻辑电路的分析 组合逻辑电路的分析方法:1)由逻辑图写出各输出端的逻辑表达式 2)化简和变换各逻辑表达式 3)列真值表 4)分析确定功能 例: C B A L ⊕⊕= 3.1.1 分析加法器 半加器真值表 (1)1位加法器 1)半加器 不考虑由低位进位来的加法器 B A A S ⊕== A B B

AB Co = 2)全加器 考虑低位进位的加法器 CI B A CI AB CI B A BCI A S +++= 全加器真值表 CI B B A CI A CO ++= S “奇数个1时,S 为1”CI “两个以上1时,CI 为1” A (2)多位加法器 1、并行相加串行进位的加法器 例如:四位二进制数A 3 A 2A 1A 0和B 3 B 3 B 3 B 3相加 CI CO Σ CI CO Σ CI CO Σ CI CO Σ CO S 1 S 0 S 2 S 3 A 0B 0 A 1 B 1 A 2 B 2 A 3 B 3 每位进位信号作为高位的输入信号――串行进位 故任一位的加法运算必须在低一位的运算完成后才能进行――速度慢 2、超前进位 00011011 0 1 A B CI 01011 1 00011011 0 1 A B CI 00100 1 1 1

每位的进位只由加数和被加数决定,而与低位的进位无关。 1-⊕⊕=i i i i C B A S ()1-⊕+=i i i i i i C B A B A C 3.1.2 分析数据选择器 数据分配器:将公共数据线上的信号送往不同的通道 数据选择器:将不同通道的信号送往公共数据线 74LS153为例:通过给定不同的地址代码,即可从4个输入数据中选出所要得输出 函数式:()()()()[] 01130112011101101A A D A A D A A D A A D Y +++= 总结:1、数据选择器可将多通道输入的数据有选择的传送到输出端 2、数据选择器还可作为一般的逻辑函数产生器,一个2n 选一的数据选择器可以产生n 或少于n 个输入变量的逻辑函数 3、构成逻辑函数产生器的关键是确定常量输入端的逻辑值。可由导出的最小项或真值表获得。 3.1.3 分析多路分配器 D A A D 010= D A A D 011= D A A D 012= A A D 013= A A D 3.1.4 分析数值比较器 (1)1位数值比较器 两个数AB 比较(A >B ,A

数字电子技术基础. 第四版. 课后习题答案详解

Y 1 1 Y 第一章 1.1 二进制到十六进制、十进制 (1)(10010111)2=(97)16=(151)10 (3)(0.01011111)2=(0.5F)16=(0.37109375)10 1.2 十进制到二进制、十六进制 (1)(17)10=(10001)2=(11)16 (3) (0.39)10 = (0.0110 0011 1101 0111 0000 1010) 2 = (0.63D70A)16 1.8 用公式化简逻辑函数 (1)Y=A+B (2)Y = ABC + A + B + C 解: = BC + A + B + C = C + A + B + C =(A +A =) (5)Y=0 (2)(1101101)2=(6D)16=(109)10 (4)(11.001)2=(3.2)16=(3.125)10 (2)(127)10=(1111111)2=(7F)16 (4) (25.7)10 = (11001.1011 0011) 2 = (19.B 3)16 (3)Y=1 (4)Y = AB CD + ABD + AC D 解:Y = AD (B C + B + C ) = AD (B + C + C ) = AD (7)Y=A+CD (6)Y = AC (C D + A B ) + BC (B + AD + CE ) 解:Y = BC ( B ⊕ AD + CE ) = BC ( B + AD ) ⊕ CE = ABCD (C + E ) = ABCDE (8)Y = A + ( B + )( A + B + C )( A + B + C ) 解:Y = A + ( B ⊕ C )( A + B + C )( A + B + C ) = A + ( AB C + B C )( A + B + C ) = A + B C ( A + B + C ) = A + AB C + B C = A + B C (9)Y = BC + A D + AD (10)Y = AC + AD + AEF + BDE + BDE 1.9 (a) Y = ABC + BC (b) Y = ABC + ABC (c) Y 1 = AB + AC D ,Y 2 = AB + AC D + ACD + ACD (d) Y 1 = AB + AC + BC , Y 2 = ABC + ABC + ABC + ABC 1.10 求下列函数的反函数并化简为最简与或式 (1)Y = AC + BC (3)Y = ( A + B )( A + C )AC + BC (2) Y = A + C + D 解: = ( A + B )( A + C )AC + BC = [( A + B )( A + C ) + AC ] ⊕ BC = ( AB + AC + BC + AC )( B + C ) = B + C (5)Y = AD + AC + BCD + C 解:Y = ( A + D )( A + C )(B + C + D )C = AC ( A + D )(B + C + D ) = ACD (B + C + D ) = ABCD (4)Y = A + B + C (6)Y = 0 1.11 将函数化简为最小项之和的形式 (1)Y = A BC + AC + B C 解:Y = A BC + AC + B C = A BC + A (B + B )C + ( A + A )B C = A BC + ABC + AB C + AB C + ABC = A BC + ABC + AB C + ABC (2)Y = ABC D + A BCD + ABCD + AB CD + AB CD + A BC D

数字电子技术基础习题及答案

数字电子技术基础考题 一、填空题:(每空3分,共15分) 1.逻辑函数有四种表示方法,它们分别是(真值表)、(逻辑图)、(逻辑表达式)和(卡诺图)。 2.将2004个“1”异或起来得到的结果是(0 )。 3.由555定时器构成的三种电路中,()和()是脉冲的整形电路。4.TTL器件输入脚悬空相当于输入(高)电平。 5.基本逻辑运算有: (and )、(not )和(or )运算。 6.采用四位比较器对两个四位数比较时,先比较(最高)位。 7.触发器按动作特点可分为基本型、(同步型)、(主从型)和边沿型;8.如果要把一宽脉冲变换为窄脉冲应采用(积分型单稳态)触发器 9.目前我们所学的双极型集成电路和单极型集成电路的典型电路分别是(TTL )电路和(CMOS )电路。 10.施密特触发器有(2)个稳定状态.,多谐振荡器有(0 )个稳定状态。 11.数字系统按组成方式可分为功能扩展电路、功能综合电路两种;12.两二进制数相加时,不考虑低位的进位信号是(半)加器。 13.不仅考虑两个_______本位_____相加,而且还考虑来自___低位进位____相加的运算电路,称为全加器。 14.时序逻辑电路的输出不仅和___该时刻输入变量的取值______有关,而且还与_电路原来的状态_______有关。 15.计数器按CP脉冲的输入方式可分为__同步计数器和____异步计数器_。 16.触发器根据逻辑功能的不同,可分为_____rs______、______jk_____、___t________、___d________、___________等。 17.根据不同需要,在集成计数器芯片的基础上,通过采用__反馈归零法_________、__预置数法_________、__进位输出置最小数法__等方法可以实现任意进制的技术器。 18.4. 一个JK 触发器有 2 个稳态,它可存储 1 位二进制数。 19.若将一个正弦波电压信号转换成同一频率的矩形波,应采用多谐振荡器电路。20.把JK触发器改成T触发器的方法是 j=k=t 。 21.N个触发器组成的计数器最多可以组成2n 进制的计数器。 22.基本RS触发器的约束条件是rs=0 。

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 =F 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=Ω,C=μF 。试求脉冲宽度 T ,振荡频率f 和占空 比q 。 图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 ………………………密……………………封…………………………装…………………订………………………线……………………… 学院 专业(班级) 姓名 学号 ……………

时,1Y 、6Y 分别才为低电平(被译中)。 6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。 图 D= Q n+1= Q 1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。 图4 1.设计一个三变量偶检验逻辑电路。当三变量A 、B 、C 输入组合中的“1” 的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求:

数字电子技术基础(第四版)课后习题答案_第三章

第3章 [题3.1] 分析图P3.1电路的逻辑功能,写出Y 1、、Y 2的逻辑函数式,列出真值表,指出电路完成什么逻辑功能。 [解] BC AC AB Y BC AC AB C B A ABC Y ++=+++++=21)( B 、 C 为加数、被加数和低位的进位,Y 1为“和”,Y 2为“进位”。 [题3.2] 图P3.2是对十进制数9求补的集成电路CC14561的逻辑图,写出当COMP=1、Z=0、和COMP=0、Z=0时,Y 1~Y 4的逻辑式,列出真值表。

[解] (1)COMP=1、Z=0时,TG 1、TG 3、TG 5导通,TG 2、TG 4、TG 6关断。 3232211 , ,A A Y A Y A Y ⊕===, 4324A A A Y ++= (2)COMP=0、Z=0时, Y 1=A 1, Y 2=A 2, Y 3=A 3, Y 4=A 4。 COMP =0、Z=0的真值表从略。 [题3.3] 用与非门设计四变量的 多数表决电路。当输入变量A 、B 、C 、D 有3个或3个以上为1时输出为1,输入为其他状态时输出为0。 [解] 题3.3的真值表如表A3.3所示,逻辑图如图A3.3所示。 ABCD D ABC D C AB CD B A BCD A Y ++++= BCD ACD ABC ABC +++= B C D A C D A B D A B C ???=

[题3.4] 有一水箱由大、小两台泵M L 和M S 供水,如图P3.4所示。水箱中设置了3个水位检测元件A 、B 、C 。水面低于检测元件时,检测元件给出高电平;水面高于检测元件时,检测元件给出低电平。现要求当水位超过C 点时水泵停止工作;水位低于C 点而高于B 点时M S 单独工作;水位低于B 点而高于A 点时M L 单独工作;水位低于A 点时M L 和M S 同时工作。试用门电路设计一个控制两台水泵的逻辑电路,要求电路尽量简单。 [解] 题3.4的真值表如表A3.4所示。 真值表中的C B A 、C B A 、C B A 、C AB 为约束项,利用卡诺图图A3.4(a)化简后得到: C B A M S +=, B M L =(M S 、M L 的1状态表示工作,0状态表示停止)。 逻辑图如图A3.4(b)。

相关主题
文本预览
相关文档 最新文档