当前位置:文档之家› 篮球计时器毕业设计

篮球计时器毕业设计

篮球计时器毕业设计
篮球计时器毕业设计

电子设计综合训练报告

篮球计时器

摘要

随着我国体育事业的发展,篮球运动在我国早已成为广大人民喜爱的运动,而篮球比赛也成为人们交流和娱乐的一种重要方式。而人们在各种篮球比赛赛事时越来越多注重公平公开,需要一种用于篮球赛事时的比赛基于单片机控制的篮球计时器正是一款具有全程计时、加时、暂停计时和记录双方比分等多功能的篮球计时器。它还具有结构简单,外围电路少,体积小,使用方便、可靠性高经济等诸多优点。所以它可以用于各种常规和正规的篮球比赛赛事中使用,可以说适用性广、市场前景广阔。

设计者在熟悉了各种篮球比赛的赛事过程和单片机原理的基础上,使用了单片机作为计时器的控制部分,通过程序查询外部按键来调用不同的功能程序实现计时、加时、暂停、刷新比分、交换场地等功能,经单片机处理过的数据送8位共阴数码管显示。此篮球计时器硬件电路主要有外围按键部分、复位电路、单片机控制部分、比赛结束报警电路和数码管显示电路,软件使用C语言编程。经过protues仿真后实现了预想的各种功能。

本设计报告从电路的结构原理及各部分的主要功能、实现的具体方法等方面对篮球计时器做了详细的介绍,附录部分有系统原理图和C语言源程序。

关键字:单片机数码管中断原理仿真体会

目录

一概述 (1)

1.1设计背景 (1)

1.2设计任务和要求 (1)

1.3硬件电路框图 (2)

1.4软件电路框图 (3)

二系统总体方案及硬件设计 (4)

2.1AT89S52单片机 (4)

2.2数码管显示 (4)

2.3时钟晶振电路 (5)

2.4复位电路 (5)

2.5报警电路 (6)

2.6按钮控制部分 (6)

三软件设计 (7)

3.1数码管显示 (7)

3.2消抖与延时函数 (7)

3..3比赛倒计时时间控制 (7)

3.4两队比分控制及分数交换 (7)

3.5比赛过程控制 (8)

3.5.1 暂停的实现 (8)

3.5.2 加时控制 (8)

3.5.3 暂停时自锁功能 (8)

四软件仿真 (9)

五课程设计心得体会 (11)

参考文献 (12)

附录1 源程序代码 (13)

附2 系统原理图 (20)

一概述

1.1 设计背景

篮球比赛是根据运动队在规定的比赛时间里得分多少来决定胜负的,因此,篮球比赛的计时计分系统是一种得分类型的系统。篮球比赛的计时计分系统由计时器,计分器等多种电子设备组成,同时,根据目前高水平篮球比赛要求,完善的篮球比赛计时计分系统设备应能够与现场成绩处理,现场大屏幕,电视转播车等多种设备相联,以便实现高比赛现场感,表演娱乐观众等功能目标。

由于单片机的集成度高,功能强,通用性好,特别是它具有体积小,重量轻,能耗低,价格便宜,可靠性高,抗干扰能力强和使用方便等独特的优点,使单片机迅速得到了推广应用。篮球计时计分器就是以单片机为核心的计时计分系统,由计时器,计分器,综合控制器和24秒控制器等组成。

1.2 设计任务和要求

任务:设计一个用于赛场的篮球计时计分器。

基本要求: 1、能记录整个赛程的比赛时间,并能修改比赛时间。

2、能随时刷新甲、乙两队在整个过程中的比分。

3、中场交换比赛场地时,能交换甲、乙两队比分的位置。

4、比赛结束时,能发出报警声。

扩展部分:暂停功能、加时控制、暂停时自锁功能

图 1.1 硬件设计图

图 1.2 软件设计图

二系统总体方案及硬件设计

2.1 AT89S52单片机

其P0

口的锁存器写“1”,是下拉场效应管截止,呈高阻态。其中P0口的每一位输出可驱动8个LSTTL负载,但要驱动NMOS或其它拉电流负载时,引脚要外接上拉电阻,其余3个口可驱动4个LSTTL负载,P3口可做多功能口使用。此次设计中p0口作为键盘的按键输入口,p0口作为数码管的数据输出口,p2口作为数码管的位选口的控制口。

2.2 数码管显示

设计中用到的数码管为:7SEG-MPX4-CC 四位共阴极二极管显示器 12345678 是阴极公共端,也是位码,ABCDEFG DP是段码。如图2.2所示:

2.3 时钟晶振电路

时钟晶振电路模块为单片机提供特定的时钟周期,以备单片机工作使用。单片机内部有一个用于构成振荡器的高增益反相放大器的输入与输出端分别是引脚XTAL1和XTAL2,在两引脚上外接时钟源即可构成时钟电路。

时钟源的接法有内部和外部时钟产生方式,本设计用的是内部产生方式,通过电容C1、C2微调作用与晶振产生12MHZ的时钟频率。

其电路图如下图2.3所示:

2.4 复位电路

分别采用1k、10k

如图2.4所示:

2.5 报警电路

电路采用的是峰鸣音报警电路,当暂停或计时时间到时蜂鸣器发出报警音。在图中,P3.7

图2.5 报警电路

2.6 按钮控制部分

P1口连接,p1.0p1.2为加两分,p1.3为减一分;

减分的按键,并且p1.5p1.6为场地交换控制键。如图

图2.6 按键控制

三软件设计

3.1 数码管显示

4位数码管显示比赛的总时间,两队的比分分别显示在2个2位数码管上。数码管的位码可表示为:b[8]={0xfe,0xfd,0xfb,0xf7,0xef,0xdf,0xbf,0x7f}; //位码组合低有效

段码为:a[10]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f}; //段码组合,共阴极

用P0口与数码管的段码相连,外接上拉电阻来实现对段码的显示控制,P2

口连接位选用于选择控制哪一位数码管亮。

数码管的显示可编写display()函数实现。

3.2 消抖与延时函数

延时函数主要用于数码管的动态显示及按键消抖。在动态显示时,控制每位

数码管显示的时间间隔;按键控制时,用于消除抖动,判断是否按键真的被按下。

3..3 比赛倒计时时间控制

采用定时器加中断的方法对比赛时间进行倒计时控制。先选用定时器,设定

总时间后,对其模式进行设定,计数初值进行装载,由于时间较长,需要采用循

环与中断技术来实现1S的及整个比赛时间的运行。同时开CPU、定时器中断,

启动定时器,系统实现循环减1的操作。

3.4 两队比分控制及分数交换

为了节省占用空间,减少按键,两队的比分更改采用模式切换的方法,本程

序中由P1.0来实现两队的比分的加减控制。基于所用的开关为按下立即复位的

形式,在切换模式时需要先对P1.0按键的状态进行锁定,因此要在程序中设定

锁定变量(本设计用的是l),控制它的值来保持当前的状态。当P1.0状态变化时,锁定信号的值改变来保持另一状态。

两队比分的控制有加1分、加2分及减1分(当加错分时用来修正比分时使用)三种形式,分别由P1.2、P1.3、P1.4所连接的按钮来实现。其中减分可手

动由原来的比分减到零为止。

两队的比分交换可在中场时手动进行控制,可以通过对调两队的比分设置变

量来在显示器上实现交换比分的目的。本设计用与p1.6相连的按钮控制,按下

一次该键,可实现两队比分的交换,再按一次可对比分再次交换。

3.5 比赛过程控制

3.5.1 暂停的实现

比赛过程中,有队请求暂停时,按下P1.4连接的按键,设置一变量j,初始时j=0,有键按下时加1,此时关cpu中断,停止计数器计数及时间显示。当需要继续进行比赛时,再按一下暂停按键, j=2时开cpu中断,允许计数器继续计数及时间显示,并设置j=0,使按键处于初始的待命状态,如此循环,即可实现暂停与正常运行的相互切换。

3.5.2 加时控制

比赛结束时,比赛时间归零,若两队的比分相同,则进入加时模式设定,重新对比赛时间进行倒计时设定,按p1.5一次可实现加时5分钟。

3.5.3 暂停时自锁功能

当比赛到中场休息时,此时进入暂停模式,但在工作人员不在或监管不严的情况下,有无意操作或错误的按下按键。在暂停模式下,即p1.4=0时,经过和p1.5相与的结果来控制加减分功能,而此时无论p1.5为0或1,相与的结果总为0,所以能实现自锁功能。

四软件仿真

4.1 开始比赛,设定默认四节的总时间48分钟,如图4.1所示:

图4.1

4.2 上面的液晶显示为计时显示。下面的液晶显示为分数显示“上侧为A队分

数,下侧为B队。”换场后“上侧为A队分数,下侧为B队”。如图4.2所示:

图4.2

4.3 按下P1.5键或一节时间(12分钟)结束时,蜂鸣器响,暂停比赛(如图4.3.1中未时

Q1基极为低电平,比赛正常进行;如图4.3.2所示,按一次Q1基极变为高电平。按键再次按下时,如此反复)。

图4.3.1 图4.3.2

4.4 初始时,分别按下P1.1、P1.2、P1.3可实现A队比分加1、加2.、减1;

按P1.0进行模式切换,实现对B队的相同操作。中场比分交换时,按下P1.7一次可进行两队比分显示交替一次,如此往复。如图4.4.1、4.4.2所示:

P1.6键一次,可加时5分钟,进行加时赛,直至倒计时结束,再有两对分数情况确定继续加时还是比赛结束。如图4.5.1、4.5.2所示:

五课程设计心得体会

本次课程设计与以往有很多不同之处。其中最大的特点是做实物,在此要求下,老师给我们留了足够的时间来让我们完成这个看似很难的“工程”。随着时间一天天的过去,我们慢慢的把程序调好,慢慢的学会了仿真,学会了调试,慢慢发现对单片机有了更深的了解,慢慢发现其实这个东西也不是传说中的那么难。

在当设计刚开始的时候,我们两个是一片茫然,不知道从何下手。软件不会用,程序没写过,现在要突然做这么一个东西,当时的感觉一个字:难!看到同学都在有条不紊的进行着,特别是参加过比赛的同学,这对他们来说就是小菜一碟啊!我们当时真的很急啊,我们还要考研,看到自己的研友每天去自习,当时真是不想弄。但经过我和同组的同学经过深入的讨论与分析还是决定做,并选择了这个硬件简单软件难度不大的篮球计时器,分配好工作,计划好时间。工作就这样开始了,我们每天花一点时间,每天解决一个小问题。

通过单片机课程设计,加深了我们对单片机理论的理解,加强了理论联系实际的能力,而且还培养了我们不畏困难的挑战精神,从而不断地战胜自己,超越自己,我在这一设计过程中,学会了坚持不懈,不轻言放弃。设计过程,也好比是我们成长的历程,常有一些不如意,这就像是对我们人生的挑战,勇敢过,战胜了,胜利的钟声也就一定会为我们而敲响。

这个设计过程中,我们遇到了许多困难,例如在程序的编写过程中常常出错,经常把共阴级和共阳级程序弄混。最后在我们共同的努力下,经过多次的尝试我们的程序终于仿真成功。有时候,面对这些困难,真想要就此罢休,但是经过同伴的鼓励我明白了结果并不是全部,过程也是非常重要的。最终,我们完成了这个设计,虽然不是很成功,但是我们却学到很多东西,可以说这次课程设计是我们大学生活不可多得的一次经历。

参考文献

[1] 余发山单片机原理及应用技术徐州:中国矿业大学出版社,2003年12月

[2] 徐仁贵,廖哲智.单片微型计算机应用技术,机械工业出版社

[3] 河南理工大学电气学院.单片机实验报告指导册,2009

附录1 源程序代码

#include

#define uint unsigned int

#define uchar unsigned char

uchar code a[10]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f}; //段码组合,共阴极uchar code b[8]={0xfe,0xfd,0xfb,0xf7,0xef,0xdf,0xbf,0x7f}; //位码组合,低有效

//**************************************************************************

sbit P1_0=P1^0;

sbit P1_1=P1^1;

sbit P1_2=P1^2;

sbit P1_3=P1^3;

sbit P1_4=P1^4;

sbit P1_5=P1^5;

sbit P1_6=P1^6;

sbit P3_7=P3^7;

uchar min=48,secd=0,k,cnt=0; //********cnt是定时用

uchar j=0,l=0,hd=0,ld=0,m=0,n=0; //***hd表示红队得分,ld蓝队得分,l是封锁信号*** delay(uchar t);

//********************中断程序********************

void inrupt(void)

{

TMOD=0x01;

TH0=0x3c;

TL0=0xb0;

ET0=1;

TR0=1;

EA=1;

P3_7=0;

}

//******************中断处理程序*********************** void time1(void) interrupt 1

{

TH0=0x3c;

TL0=0xb0;

cnt++;

if (cnt>=20)

{

cnt=0;

if (secd==0)

{

if (min==0)

{ min=0;

secd=0;

P3_7=1;

EA=0;

TR0=0;

}

else

{ secd=59;

min--;

}

}

else secd--;

}

}

delay(uchar t) //**延时0.1*t毫秒**

{

uchar i;

do

{

for (i=0;i<23;i++)

;;;;

} while(t--);

}

void key() //**功能程序**

{ if (P1_0==0) //**moshi**

{

delay(2);

if(P1_0==0)

{

while(P1_0==0);

n++;

if(n==2)

n=0;

}

}

if (n==0&l==0) //**红队加分模式**

{

if (P1_1==0) //**加一分**

{ delay(2);

if (P1_1==0)

{

while(P1_1==0);

hd+=1;

}

}

if (P1_2==0) //**加两分**

{

delay(2);

if(P1_2==0)

{

while(P1_2==0);

hd+=2;

}

}

if (P1_3==0 ) //**减一分**

{

delay(2);

if (P1_3==0)

{

while (P1_3==0);

if (hd==0)

hd=0;

else

hd--;

}

}

} //**红队模式结束**

if(n==1&l==0) //**蓝队加分模式**

{ if (P1_1==0) //**加一分**

{

delay(2);

if (P1_1==0)

{

while (P1_1==0);

ld+=1;

}

}

if (P1_2==0) //**加两分**

{

delay(2);

if (P1_2==0)

{

while(P1_2==0);

ld+=2;

}

}

if (P1_3==0) //**减一分**

{

delay(2);

if (P1_3==0)

{

while(P1_3==0);

if (ld==0)

ld=0;

else

ld--;

}

}

} //** 蓝队模式结束**

//**加分程序结束*

if(P1_4==0) //**暂停**

{

delay(2);

if(P1_4==0)

{

while(P1_4==0);

j++;

if (j==1)

{ P3_7=1;

EA=0;

TR0=0;

l=1;

}

else if (j==2)

{ P3_7=0;

EA=1;

TR0=1;

j=0;

l=0;

}

}

}

if (P1_5==0&j==0) //**加时五分钟** {

delay(2);

if (P1_5==0)

{

while (P1_5==0);

min+=5;

}

}

if (P1_5==0&j==1) //**减一分钟**

{

delay(2);

if (P1_5==0)

{

while (P1_5==0);

min-=1;

}

}

基于单片机的篮球计分器设计毕业设计

摘要 随着电子科技、信息通信技术的快速发展,嵌入式单片机应用越来越广泛。在HMOS技术大发展的背景下,Intel公司在MCS-48系列的基础上,于1980年推出了8位MCS-51系列单片机。它与以前的机型相比,功能增强了许多,就其指令和运行速度而言,超过了INTEL8085的CPU和Z80的CPU,成为工业控制系统中较为理想的机种。较早的MCS-51典型时钟为12MHz,而目前与MCS-51单片机兼容的一些单片机的时钟频率达到40MHz甚至更高,现在已有400MHz的单片机问世。篮球记分器是一种得分记录工具,由多种电子设备组成。以单片机为核心的篮球记分器造价便宜,使用简单,体积小等特点。

第1章绪论 1.1 单片机简述 单片机就是在一块半导体硅片上集成了微处理器(cpu),存储器(RAM,ROM,EPROM)和各种输入、输出接口(定时器/计数器,并行I/O接口,串行口,A/D转换器以及脉宽调制器PWM等),这样一块集成电路芯片具有一台计算机的属性,因此被称为单片微型计算机,简称单片机。 单片机主要应用于测控领域,用以实现各种测试和控制功能。为了强调其控制属性,在国际上,多把单片机称为微控制器MCU(MicroController Unit)。单片机自20世纪70年代问世以来,以极其高的性价比受到人们的重视和关注,所以应用很广,发展很快。单片机的优点是体积小、重量轻、抗干扰能力强,对环境要求不高,价格低廉,可靠性高,灵活性好,开发较为容易。例如,80C51系列单片机已有十多年的生命期,如今仍保持着上升的趋势,就充分证明了这一点。单片机以其一系列优点,近几年得到迅猛发展和大范围推广,广泛应用于工业控制系统,数据采集系统、智能化仪器仪表,及通讯设备、日常消费类产品、玩具等。并且已经深入到工业生产的各个环节以及人民生活的各层次中,如车间流水线控制、自动化系统等、智能型家用电器等。而美国ATMEL公司开发生产了新型的8位单片机——AT89系列单片机。他不但具有一般MCS-51单片机的所有特性,而且还拥有一些独特的优点,此次设计中所用到的AT89C51就是其中典型的代表。 1.2 设计意义 单片机的应用是具有高度现实意义的。单片机极高的可靠性,微型性和智能性(我们只要编写不同的程序后就能够完成不同的控制工作),单片机已成为工业控制领域中普遍采用的智能化控制工具,已经深深地渗入到我们的日常生活当中。通过此次基于单片机设计的篮球计时计分系统,我们可以更清楚详细的了解单片机程序设计的基本指令功能、编程步骤和技巧来讲述单片机编程,并对MCS-51单片机的结构和原理进行讲述,以及基于单片机开发应用的相关芯片的工作原理,并且可以在将来的工作和学习中加以应用。

篮球竞赛24秒计时器设计-

学号: 课程设计 题目 学院 专业 班级 姓名 指导教师

年月日

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位: 题目: 篮球24秒记时器的设计与制作 初始条件: (1)具备显示24秒记时功能 (2)计时器为递减工作,间隔为1S (3)递减到0时发声光报警信号 (4)设置外部开关,控制计时器的清0,启动及暂停 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) (1)设计任务及要求 (2)方案比较及认证 (3)系统框图,原理说明 (4)硬件原理,完整电路图,采用器件的功能说明 (5)调试记录及结果分析 (6)对成果的评价及改进方法 (7)总结(收获及体会) (8)参考资料 (9)附录:器件表,芯片资料 时间安排: 6月16日~6月19日:明确课题,收集资料,方案确定 6月19日~6月21日:整体设计,硬件电路调试 6月21日~6月24日;报告撰写,交设计报告,答辩 指导教师签名:2014年 6月日

前言 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。 在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机、还可以用来做为各种药丸,药片,胶囊在指定时间提醒用药等等,由此可见计时器在现代社会是何其重要的。 篮球作为一项全民健身项目,已有一定的历史。在中国,篮球很盛行,篮球比赛也日趋职业化。篮球比赛中有一项违例时间要用倒计时器,目前多数采用的是24秒制。有需要就会有市场,因此设计一款24秒计时器是非常有必要也非常有前景的。 该计时器要有递减计时及报警功能。因此符合比赛中违例判罚的需要。 在NBA比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。本课程设计的“篮球竞赛24秒计时器”,可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它自动的报警从而判定此球员的犯规。 本设计主要能完成:显示24秒倒计时功能;系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;计时器为24秒递减计时其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。 整个电路的设计借助于proteus仿真软件和数字逻辑电路相关理论知识,并在proteus下设计和进行仿真,得到了预期的结果。

篮球竞赛30s计时器

沈阳航空航天大学 课程设计 (说明书) 篮球竞赛30秒计时器设计 班级机电1303 学号2013040604110 学生姓名曾闯 指导教师孙琦

一、概述 随着信息时代的到来,电子技术在社会生活中发挥越来越重要的作用,运用模电和数电知识设计电子产品成为社会生活不可缺少的部分。就计时器来说,成为检验运动员成绩的一种重要工具。 电子课程设计是电子技术学习中一个重要的环节,可以真正的锻炼学生的能力。在许多领域中计时器得到了普遍应用,如体育比赛,定时报警器,游戏中的倒时器,交通信号灯,红绿灯,行人灯等,说明了计时器的重要性。篮球竞赛中规定了球员持球的时间不能超过30s,否则就为犯规,本课程设计的正是“篮球竞赛30s计时器”,可用于篮球比赛中,对球员持球时间做30s限制,一旦球员持球时间超过30s,它自动报警从而判断球员犯规。 本次课设要求完成一个用于篮球竞赛的30秒计时器。 设计要求 ①篮球竞赛30秒计时器的直流稳压电源要求自己设计。 ②在选择器件时,应考虑成本,要求采用LED灯显示。 ③根据技术指标,通过分析计算确定电路和元器件参数。 ④画出电路原理图(元器件标准化,电路图规范化)。 二、方案设计 该电路包括脉冲发生器、计数器、译码显示电路、控制电路、报警电路、直流稳压电源6个部分组成。计数器和控制电路是主要部分。计数器完成30s计时功能,控制电路完成启动计数、暂停/连续计数、译码显示电路的显示和灭灯等功能。总体设计框图如下: 在篮球比赛过程中经常需要计时操作,设计一个用于篮球竞赛的30秒计时器。其原理如图1所示。 图1 篮球竞赛30秒计时器原理框图 能完成的功能:①显示30s倒计时功能;②系统外部设置操作开关,控制计时器直接清零、启动和连续/暂停功能;③在清零时数码管显示“00”;④计时

篮球计分器设计报告

课程设计报告 课程名称:数字电路课程设计 设计题目:篮球比赛数字计分器 院(部):机械与电子工程电学院 专业:电气信息类 学生姓名: 曾吴广 学号: 2011211006 起止日期: 2013年6月7日-2013年6月22日 指导教师: 李玲纯华贵山

一、设计内容与要求: 设计一个篮球比赛记分显示器 基本要求: (1)电路具有加1分、加2分、加3分功能。 (2)电路具有减分功能。 (3)显示总分功能,用三位LED显示器,最高可现实999。 (4)每次篮球比赛后显示器可清零。 二、设计方案 对应篮球比赛规则的系统的要求,篮球记分有1分、2分、3分的情况,通过对电路输入一个脉冲、两个脉冲、三个脉冲,使计数器对分数进行统计,这需要三个脉冲分路。 电路要具有计分、减分及显示的功能。当球队比赛得分时,用加法计分器通过控制分路加相应的分数。如果裁判误判了,可用减法计数器减掉误判的分数。用三片计数器和三个半导体数码LED进行对分数的统计和显示。 电路框图 三、电路的设计记分电路 加 减 置 换 二 分 脉 冲 显 示 显 示 分 数 清 零 一分脉冲三分脉冲

1、记分部分 设计要求记分部分包括加减两部分,故考虑双时钟输入的十进制计数器74LS192。74LS192是同步十进制可逆计数器,为双时钟输入,具有同步清零和同步置数等功能,具体功能表如下: 故计分部分电路设计如下: 2、显示部分 数码管按照其发光二极管的连接方式不同,可分为共阳极和共阴极两种。共阴极是指数码管中所有发光二极管的阴极连在一起接低电平,而阳极分别由a、b、c、d、e、f、g输入信号驱动,当某个输入为高电平时,相应的发光二极管点亮;共阳极数码管则相反,它的所有发光二极管的阳极连在一起接高电平,而阴极分别由a、b、c、d、e、f、g输入信号驱动,当某个输入为低电平时,相应的发光二极管点亮。

电子技术课程设计 篮球30s计时器的设计

课程设计名称:电子技术课程设计 题目:篮球竟赛30s计时器设计 专业:电气工程与自动化 班级:电气09-2 姓名:张瑞 学号:09005040229

摘要 本课程设计是脉冲数字电路的简单应用,设计了篮球竞赛30秒计时器。此计时器功能齐全,可以直接清零、启动、暂停和连续以及具有光电报警功能,同时应用了七段数码管来显示时间。此计时器有了启动、暂停和连续功能,可以方便地实现断点计时功能,当计时器递减到零时,会发出光电报警信号。本设计完成的中途计时功能,实现了在许多的特定场合进行时间追踪的功能,在社会生活中也具有广泛的应用价值。 此计时器的设计采用模块化结构,主要由以下3个组成,即计时模块、控制模块、以及译码显示模块。在设计此计时器时,采用模块化的设计思想,使设计起来更加简单、方便、快捷。此电路是以时钟产生,触发,倒计时计数,译码显示为主要功能,在此结构的基础上,构造主体电路和辅助电路两个部分。 关键字计时器 ; 光电报警 ; 模块化

前言 人类社会已进入到高度发达的信息化社会,信息社会的发展离不开电子产品的进步。随着工业水平的进步和人民生活水平的提高,在很多领域都需要几个甚至上百个定时电路去控制多项操作,从而实现工业生产的自动化,最终提高劳动生产率促进经济的发展。定时器在实际工作中用到的场合很多,它成为今天工业控制领域、通讯设备、信息处理以及日常生活中最广泛使用的电路之一,在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机、还可以用来做为各种药丸,药片,胶囊在指定时间提醒,用于各种竞赛的计时器、竞赛用定时器、数控电梯、数控机床、交通灯管理系统、各种智能医疗器械等,定时器是家用电器中的常用产品。 随着电子技术的高速发展和计算机技术的普遍应用,电子设计也越来越普遍地应用于整个电子行业中。电子设计是人们进行电子产品设计、开发和制造过程中十分关键的一步,其核心就是电子电路的设计。电子设计自动化(EDA)是在电子产品向更复杂、更高级,向数字化、集成化、微型化和低耗能方向发展过程中逐渐产生并日趋完善的电子设计方法,在这种方法中,设计过程的大部分工作(特别是底层工作)均由计算机自动完成,是电子技术发展历程中产生的一种先进的设计方法,是当今电子设计的主流。 在篮球比赛中,规定了球员的持球时间不能超过30秒,否则就犯规了。本课程设计的“篮球竞赛30秒计时器”,可用于篮球比赛中,用于对球员持球时间30秒限制。一旦球员的持球时间超过了30秒,它自动的报警从而判定此球员的犯规。 定时器的应用范围极为广泛,其中首推由555构成的定时电路。集成器件555芯片是一种模拟电路和数字电路相结合的中规模集成电路,其逻辑功能强,使用灵活,可方便组成多种逻辑功能电路,能够更加简单更加快捷的实现定时功能,满足在日常生产和生活中的要求,所以555定时器电路在各个领域的应用及其广泛,在数字电路中占有重要位置,受到人们的普遍重视。本设计的秒脉冲发生器就是用由555构成的定时电路。

篮球计时器-篮球24秒计时器

电子信息工程学院 电子基础课程设计任务书 I、电子基础课程设计题目: 篮球竞赛30S计时器 II、电子基础课程设计技术要求及主要元器件: 基本要求:1)具有显示30S计时功能,启动和暂停/连续功能, 2)在直接清零时,要求数码显示器灭灯, 3)设置外部操作开关,控制计数器的直接清零, 4)计时器为30S递减计时,计时间隔为1S, 5)计时器递减计时到零时,数码显示器不能灭灯,同时发出光电报警信号。 主要元器件:NE555(1),74ls161(1),74LSl92(2) I II、电子基础课程设计工作内容及进度安排: 1.方案设计,绘制电路图并仿真(2天) 2.电路布线和焊接(3天) 3.电路的调试(2天) 4.课程设计总结和报告(7天)Ⅳ、主要参考资料: 1.杨志忠.数字电子技术(第二版).北京:高等教育出版社,2000 2.胡宴如.高频电子线路(第三版).北京:高等教育出版社,2005 3.王毓银.数字电路逻辑设计(第三版).北京:高等教育出版社,1999 专业名称:电子信息工程班级学号: 06041304 学生姓名:李莉

摘要 随着世界篮球水平的提高,对计时的准确度及可靠性也越来越高,篮球30秒倒计时器也就孕育而生了。 设计一个篮球30秒倒计时器,主要解决的问题是实现倒计时功能。所以必须要有一个脉冲,为确保产生的脉冲稳定,该设计采用555时基电路构成的多谐振荡器产生周期为0.1秒的脉冲,再通过74LS161十分频来产生周期为1秒的脉冲。计数部分用74LS192芯片来实现,192芯片是8421码计时的,符合30秒读数的需要。译码部分采用74LS248芯片,74LS248是把8421BCD 码经过内部作和电路“翻译”成七段(a ,b ,c ,d ,e ,f ,g )输出,然后直接推动LED ,显示十进制数。显示部分采用七段数码管,数码管的使用很广泛,价格也不会很贵。整个线路就是把以上几个主要的部分用导线连接焊接起来。 在许多领域中,计时器都得到了普遍应用,比如在体育比赛中的计时器、安全措施中的定时报警器、游戏中的倒计时、维持秩序的交通信号灯、红绿灯、交通信号控制机、闹钟等等......可见计时器在现代社会中是很重要的。在设计计时器时,采用了模块化的思想,使得设计简单、快捷。本设计完成了中途计时功能,实现了在许多特定场合进行时间追踪,在社会生活中会具有广泛的应用价值。 关键字: 控制 倒计时 译码显示 光电报警 指导教师: 万在红 设计时间: 2008.9.1——2008.9.15

数电课设篮球30秒倒计时器

目录 一论证 (2) 1.1 设计原理 1.2 设计方案 1.3 各分电路功能分析 1.3.1 计数器电路 1.3.2 脉冲发生电路 1.3.3 译码显示电路 1.3.4 控制电路 1.4 仿真原理图 电子技术课程设计任务书 题目:具有数字显示的篮球竞赛30S计时器 1.任务要求 设计一个篮球竞赛30S进攻时间限制计时器。 2.性能指标要求: (1)工作时间可在1—30S内任意设定。 (2)30S计时器是递减计时器,间隔为1S。能用LED数码管显示剩余工作时间,还能显示到1/10秒。即当计时开始时显示出所设定的总时间,每当计时10个1/10S,显示器的数字立即减1。 (3)减1计时到7S时,发出三声低音预告信号。

(4) 减1计时到零时(工作时间到),发出三声高声,并自动停止计时。 (5) 具有暂停/继续工作、清零复位和启动计时功能。 3.设计与测试 按任务要求,设计电路,计算参数,选择元器件。根据所设计电路原理图,选择所需元器件连接电路,并按照调试步骤进行调试。 4. 成果要求 (1)课程设计说明书一本。 要求:内容完整,图表完备,字迹工整,条理清晰,分析有据。 (2)所用元器件清单 (3)电路实体和仿真。 要求:电路必须有仿真结果(可利用Multisim进行电路仿真分析),该电路实体必须是自己安装调试通过并达到性能指标要求的电路实体。 参考器件:两片74LS192、74LS00,叁片74LS48,一片555、74LS04、CC40161,共阴LED显示器3块,电阻、蜂鸣器、发光二极管等 5.答辩 在规定时间内,完成叙述并回答问题。 前言 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。 在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机、还可以用来做时间提醒设备等等,由此可见计时器在现代社会是何其重要的。 篮球作为一项全民健身项目,已有一定的历史。在中国,篮球很盛行,篮球比赛也日趋职业化。篮球比赛中有一项违例时间要用倒计时器,目前多数采用的是24秒制,但随着篮球制度的改革将会采用30秒制。有需要就会有市场,因此

数电课程设计--篮球计分器设计

《数字电子技术》课程设计题目:篮球比赛记分器设计 学院:信息科学与技术学院 专业:电子信息工程 班级:2010级(2)班 姓名:马慧2010508121 张驰2010508125 2012年7月6日

篮球比赛记分器设计 一、设计内容与要求: 设计一个篮球比赛记分显示器 基本要求: (1)电路具有加1分、加2分、加3分功能。 (2)电路具有减分功能。 (3)显示总分功能,用三位LED显示器,最高可现实999。 (4)每次篮球比赛后显示器可清零。 二、设计方案 对应篮球比赛规则的系统的要求,篮球记分有1分、2分、3分的情况,通过对电路输入一个脉冲、两个脉冲、三个脉冲,使计数器对分数进行统计,这需要三个脉冲分路。 电路要具有计分、减分及显示的功能。当球队比赛得分时,用加法计分器通过控制分路加相应的分数。如果裁判误判了,可用减法计数器减掉误判的分数。用三片计数器和三个半导体数码LED进行对分数的统计和显示。 电路框图 记分电路 加 减 置 换 二 分 脉 冲 显 示 显 示 分 数 清 零 一分脉冲三分脉冲

三、电路的设计 1、记分部分 设计要求记分部分包括加减两部分,故考虑双时钟输入的十进制计数器74LS192。74LS192是同步十进制可逆计数器,为双时钟输入,具有同步清零和同步置数等功能,具体功能表如下: 故计分部分电路设计如下: 2、显示部分 数码管按照其发光二极管的连接方式不同,可分为共阳极和共阴极两种。共阴极是指数码管中所有发光二极管的阴极连在一起接低电平,而阳极分别由a、b、c、d、e、f输入信号驱动,当某个输入为高电平时,相应的发光二极管点亮;共阳极数码管则相反,它的所有发光二极管的阳极连在一起接高电平,而阴极分别由a、b、c、d、e、

课程设计--篮球竞赛24秒计时器

课程设计--篮球竞赛24秒计时器

一、课题名称 二、内容摘要 本设计主要是完成篮球竞赛24秒计时器,显示24秒倒计时功能,系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;在直接清零时,数码管显示器全部显示为“0”;计时器为24秒递减计时,其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。 整个电路的设计借助于Multisim 10.0.1仿真软件和数字逻辑电路相关理论知识,并在Multisim 10.0.1下设计和进行仿真,得到了预期的结果。 关键字:计时器;数码显示器;Multisim 随着信息时代的到来,电子技术在社会生活中发挥着越来越重要的作用,运用模电和数电知识设计的电子产品成为社会生活不可缺少的一部分,特别是在各种竞技运动中,定时器成为检验运动员成绩的一个重要工具。在篮球比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。本课程设计的“篮球竞赛24秒计时器”,可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它自动的报警从而判定此球员的犯规。 本设计主要能完成:显示24秒倒计时功能;系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;在直接清零时,数码管显示器灭灯;计时器为24秒递减计时其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。

三、设计内容及要求 1.2.1基本要求 (1)显示24秒计时功能。 (2)控制计时器直接清零、启动、暂停/连续功能。 (3)计时器为24秒递减计时器。 (4)递减计时到零时,显示器不能灭灯,同时发出光电报警信号。 1.2.2 设计任务及目标 (1)根据原理图分析各单元电路的功能; (2)熟悉电路中所用到的各集成块的管脚及其功能; (3)进行电路的装接、调试,直到电路能达到规定的设计要求; (4)写出完整、详细的课程设计报告。 四、方案论证及比较 本设计的核心部分是要设计一、 个24s倒计数器,并且对计数结果进行实时显示,同时要实现设计任务中提 到的各种控制要求,因此该系统包括秒脉冲发生电路,计数器电路,译码显示电路,控制电路和电路报警电路5部分。其中,计数器电路和控制电路时系统的主要部分。计数器电路完成24s倒计时功能,而控制电路具有直接控制计

课程设计报告-篮球30秒倒数计时器

课程设计报告-篮球30秒倒数计时器

信电学院 课程设计说明书(2011 /2012学年第二学期) 课程名称:电子技术课程设计 题目:篮球30秒倒数计时器 专业班级:自动化3班 学生姓名:程江峰 学号:100410317 指导教师:马志钢 设计周数:两周 课设成绩: 2012年7月5日

目录 一、课程设计摘要-------------------------------------------- 二、课程设计正文 1、课程设计任务与要求 2、方案设计(系统控制电路框图及说明) 3、元器件详细介绍 4、系统原理图、印制板图及其说明 5、安装、调试及性能测试与分析 6、课程设计总结及心得 三、课程设计总结 四、附录(PCB图)

五、参考文献 一、课程设计摘要 通过电子技术课程设计的综合训练,培养独立思考、分析问题、解决问题的能力,培养工程实践能力、创新能力和综合设计能力。根据所学模拟电子技术、数字系统与逻辑设计的理论,对模拟电子线路、数字电子线路以及模拟与数字综合电子线路进行设计、安装与调试。 定时电路是数字系统中的基本单元电路,它主要由计数器和振荡器组成。定时电路主要利用分立元件,中规模集成器件555定时器。用555定时器实现的定时电路主要应用单稳态触发器原理,实现定时器的功能。在实际工作中,定时器的应用场合很多,例如,篮球比赛规则中,队员持球时间不能超过30秒,就是定时电路的一种具体应用。 篮球竞赛30秒定时器电路主要利用555定时器产生时钟脉冲,触发计数器进行从30至00倒计数,并将计数结果通过译码电路和数码管显示,当计数器减至00时,报警电路进行报警。 二、课程设计正文 1、课程设计任务与要求30秒计时功能,两位数字显示,计时间隔为1秒。完成硬件制作实现30秒减计数,每次减计时结束后,蜂鸣器报警提示,数码管显示00;电路需设置外部开关,可使定时器直接复位,并具有启动计时、暂停/连续计时功

篮球计分器课程设计

郑州科技学院 《数字电子技术》课程设计 题目篮球比赛计分的设计 学生姓名 专业班级通信工程 学号 院(系) 指导教师 完成时间 2014年12月 5日

目录 1 课程设计的目的 (1) 2 课程设计的任务与要求 (1) 3 设计方案与论证 (1) 4 单元电路的设计 (2) 4.1 单元电路 (2) 4.2 电路的设计 (4) 5 硬件的制作与调试 (6) 6 总结 (7) 参考文献 (10) 附录1:总体电路原理图 (11) 附录2:元器件清单 (12) 附录3:实物图 (13)

1 课程设计的目的 (1)熟悉中规模集成可逆计数器,译码器和显示器的功能; (2)培养创造性地运用所学知识进行数字系统设计的能力和兴趣; (3)了解数字系统的实验及调试方法,以及一般故障的排除方法。 (4)了解555定时器的功能作用和分类。 2 课程设计的任务与要求 (1)电路具有加1分、加2分、加3分功能。 (2)电路具有减分功能。 (3)显示总分功能,用三位LED显示器,最高可现实999。 (4)显示器可清零。 3 设计方案与论证 据篮球比赛情况,有得1、2、3分的情况,还有减分的情况,电路要具有加、减分显示的功能。 用3片四位二进制加法计数器74LS161组成一、二、三进制计数器,控制加2、3分的计数脉冲,3片十进制可逆计数器74LS192组成的加、减分计数器用于总分累加,最多可计999。3片七段共阴极数码管构成显示起用于显示分数。 设计方案有多种,这次找出两种方案如下: 方案1:数字逻辑电路控制方案 数字逻辑电路控制系统主要由各种逻辑元件构成,包括计数器、触发器以及各种门电路,硬件设计思路非常简单,造价低廉,元件少,体积小,稳定性好,可靠性和性价比都很高。缺点在于功能实现后电路结构复杂,维护起来比较困难。 在本设计中,采用数字逻辑电路控制系统,与单片机相比,该电路具

篮球比赛24秒计时器设计报告

篮球比赛24s计时器设计 一、课程设计目的 1.学会同步十进制计数器74LS192,二进制计数器74LS161,555芯片的简单用法。 2.学习较复杂电路的设计。 3.完成自己设计的电路,锻炼学生发现并解决问题的能力。 4.掌握篮球24s计时器的组装,调试方法。 @ 5.熟悉相应中、大规模集成电路的应用及原理。 二、课程设计要求 基本要求 (1)具有显示24秒计时功能; (2)系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;(3)计时器为24秒递减计时,其计时间隔为1秒; (4)计时器递减计时到零时,数码显示器不能灭灯,同时发出光电报警信号。) 设计任务及目标 (1)根据原理图分析各单元电路的功能; (2)熟悉电路中所用到的各集成块的管脚及其功能; (3)进行电路的装接、调试,直到电路能达到规定的设计要求; (4)写出完整、详细的课程设计报告。 三、原理方框图 ,

原理方框图如图1所示: 图1 24秒计时电路原理框图 图1中包括秒脉冲发生器、减数器、分频器、显示电路和控制电路等部分组成。计时电路递减计时,每隔1秒钟,计时器减1。其中计数器和控制电路是系统的主要部分。计数器完成24秒计时功能,而控制电路完成计数器的直接清零、启动计数器、暂停/连续计数、显示器、定时时间到亮灯等功能。当计时器递减计时到零(即定时时间到)时,显示器上显示00,同时发出光电报警信号。 秒脉冲发生器产生的信号是电路的时钟脉冲和定时标准,但本设计对此信号要求并不太严格,电路可采用555集成电路或TTL 与非门组成的多谐振荡器构成。产生10HZ 的方波。 10HZ 方波经过分频器分频为1HZ 后,加到减数器cp 端,同时共阴极七段LED 显示器显示。下面介绍各单元电路: 1.秒脉冲发生器 ' 秒脉冲产生电路由555定时器和外接元件R1、R2、c 构成多谐振荡器。 输出脉冲的频率为: 经过计算得到.f≈10Hz ,即秒。如图2所示 显示器 192减计数 161脉冲分频 555脉冲发生器 暂停、连续 … 停止

2020年篮球计分器开题报告

篮球计分器开题报告 篮球计分是篮球比赛的准备基础,关于它的设计也能影响到篮球的比赛。 石河子大学 毕业论文开题报告 课题名称:基于单片机的便携式篮球计分计时系统设计 学生姓名:朱宝军 学号:学院:机械电气工程学院 专业、年级:电气工程及其自动化12级2班 指导教师:张晓海 职称:副教授 毕业论文(设计)起止时间: 目录 1课题名称及 (1) 2研究的目的意义及国内外研究现状 (1) 2.1选题的目的及意义 (1)

2.2国内外研究现状 (1) 3课题的研究内容、目标及可行性分析 (2) 3.1研究内容 (2) 3.2研究思路和方法 (2) 3.3研究目标 (2) 3.4可行性分析 (3) 4关键问题和难点分析 (3) 4.1课题研究的关键问题 (3)

4.2课题研究的难点分析 (3) 4.3进度计划 (3) 参考文献 (4) 1课题名称及: 名称:基于单片机的便携式篮球计分计时系统设计 :老师推荐 2研究的目的、意义和国内外研究现状: 2.1选题的目的及意义 进入21世纪,伴随着电子,信息通信技术的应用与普及开发,人们对电子技术的要求也越来越高。目前嵌入式单片机渗透到我们生活的各个领域,按照历史性、本质性、普遍性要求,嵌入式系统应定义为:“嵌入到对象体系中的专用计算机系统”。对于嵌入式即可分软件部分和硬件部分。以MCU为核心,就是各种各样的单片机,是嵌入式硬件部分的实现方式之一,它主要是因为把处理器和存储器等部件集成在一块芯片上。 在探索单片机的发展道路时,有过两种模式,即“Σ模式”与“创新模式”。“Σ模式”将通用计算机系统中的基本单元进行裁剪

篮球计分器设计毕业设计

目录 第一部分设计任务与调研 (3) 第二部分设计说明 (5) 第三部分设计成果 (10) 第四部分结束语 (15) 第五部分致谢 (17) 第六部分参考文献 (18) 第七部分附录 (19)

第一部分设计任务与调研 一、调研 体育比赛记分系统是对体育比赛过程中所产生的比分等数据进行快速采集记录,加工处理,传递利用的信息系统。根据不同运动项目的不同比赛规则要求,体育比赛的记分系统包括测量类,评分类,命中类,制胜类得分类等多种类型。 比如篮球比赛是根据运动队在规定的比赛时间里得分多少来决定胜负的,因此,篮球比赛的记分系统是一种得分类型的系统。篮球比赛的记分系统由计分器等多种电子设备组成,同时,根据目前高水平篮球比赛要求,完善的篮球比赛记分系统设备应能够与现场成绩处理,现场大屏幕,电视转播车等多种设备相联,以便实现高比赛现场感,表演娱乐观众等功能目标。 由于单片机的集成度高,功能强,通用性好,特别是它具有体积小,重量轻,能耗低,价格便宜,可靠性高,抗干扰能力强和使用方便等独特的优点,使单片机迅速得到了推广应用,目前已经成为测量控制应用系统中的优选机种和新电子产品的关键部位。世界各大电气厂家,测控技术企业,机电行业,竞相把单片机应用于产品更新,作为实现数字化,智能化的核心部件。篮球计时计分器就是以单片机为核心的计时计分系统,由计时器,计分器,综合控制器和24秒控制器等组成。 二、设计任务 (1)给甲、乙两队分别设置加分按钮,各按钮按下分别实现给甲、乙队加1~9分。 (2)给甲、乙两队分别设置减分按钮,各按钮按下分别实现给甲、乙队减1~9分。 (3)设置一个复位按钮,按下实现甲、乙队总分回到初试分及显示

篮球赛计时计分器课程设计

电子与电气工程学院 课程设计报告 课程名称电子技术课程设计 设计题目计分器 专业名称电子科学与技术 班级 13级 2班 学号2013210825 学生姓名唐前昆 指导教师王欢 2015年06 月02日

目录 第1章系统概述 (1) 1.1 功能简述 (1) 1.2 按钮设置 (1) 第2章总体方案设计 (2) 2.1 系统框图 (2) 2.2 软件总体设计 (2) 第3章系统硬件设计 (3) 3.1 80C51单片机 (3) 3.2 3×4矩阵式键盘 (3) 3.3 8段数码管显示器 (3) 3.4 系统原理图 (3) 第4章软件设计 (4) 4.1 主函数设计 (4) 4.2按键码获取,按键处理函数 (5) 4.3显示子函数 (6) 4.4延时子函数 (7) 第5章系统的安装调试说明 (8) 5.1 软件调试 (8) 5.2 软硬联调 (8) 总结 (9) 参考文献 (10) 附录A 系统原理图 (11) 附录B 源程序清单 (12)

第1章系统概述 1.1 功能简述 本设计内容为比赛计分器,主要用于各种体育比赛记录分数。采用矩阵式键盘作为输入,用户可分别对两队比分进行加1、加2和减1减2操作,其加减1,2分可以通过加减1分、2分的切换按钮实现,并通过指示灯显示其每次按下加减分键所加减的分值。可以实现预置分。比分通过4个8段数码管显示器进行显示,每队比分显示2位, 1.2 按钮设置 计分器应该有7个按键分别标注于原理图,见图1-1。 图1-1按钮功能图 其中1/2分切换由发光二极管指示,加1减1分别对应。预置分是事先设定分数可以分别设定甲乙两队的初始分数。按下清零后,显示的分数清零。

NBA篮球竞赛24秒计时器设计

NBA篮球竞赛24秒计时器的设计 一.计时器电路的设计要求 要求:设计一个24秒计时器显示报警系统:电路启动后开始倒计时,计时间隔为1s,并要求由555定时器构成的多谐振荡器产生周期为1s的脉冲信号,作为计数器的时钟脉冲, 二位7段显示秒,倒计时至0秒时,电路报警。报警声持续3S,显示器不能灭灯.计时器的直接清零、启动和暂停/连续功能利用外部开关控制。 二.系统设计结构框图 根据给定设计任务及要求,确定系统原理框图如图1所示。图中,计数器完成24秒倒计时功能, 控制电路完成计数器的直接清零、启动计数、暂停/连续计时,译码显示电路的显示与熄灭、定时时间到报警功能。 图1.系统设计结构框图 三.电路设计所采用的主要芯片简介 1.74LS192集成计数器 (1)74LS192引脚图如下

2.555定时器 555 定时器是一种模拟和数字电路混合的集成电路。它结构简单、性能可靠、使用灵活,在波形的产生与变换、测量与控制、家用电器、电子玩具等许多领域中都得到了应用。目前生产的定时器有双极型和CMOS 两种类型.通常,双极型定时器具有较大的驱动能力,而CMOS 定时器具有低功耗、输入阻抗高等优点。555 定时器工作的电源电压范围很宽,并可承受较大的负载电流。 74LS47 用于驱动共阳极数码管,其输出端与七段显示器相连,七段显示器用于显示数字和字符。它由7 条发光二极管(a~g)和 1 个点状发光二极管(h) 组成。是共阴极器件(阴极接地),给a~g 高电平,相应的二极管发光。

四.电路各个功能部分设计 1.标准秒脉冲发生电路 标准秒脉冲发生电路如图2所示, 它是由555定时器组成的多谐振荡器。定时元件R1 为630kΩ, R2 为400kΩ, C为1μF,产生1Hz的标准脉冲信号。 计算公式如下: 充电时间为=(+)Cln2 ≈ 0.7 (+) C 放电时间为=Cln2 ≈ 0.7 C 振荡周期为T =+≈ 0.7 (+ 2 ) C 振荡频率为 图2.标准秒脉冲发生电路

电子线路课程设计—篮球竞赛30秒计时器汇总

目录 1 设计课题任务、功能要求说明及总体方案介绍 (1) 1.1 设计课题任务 (1) 1.2 功能要求说明 (1) 1.3 总体方案介绍 (1) 2 单元电路的设计 (2) 2.1 秒脉冲发生器 (2) 2.2 计数器 (4) 2.3 译码显示 (4) 2.4 控制电路 (5) 2.5 报警电路 (5) 2.6 电路原理图和PCB图 (5) 3 芯片引脚及功能说明 (6) 3.1 555定时器 (6) 3.2 74LS161 (7) 3.3 74LS192 (7) 3.4 74LS48 (8) 4 仿真结果及分析 (10) 4.1 仿真总原理图 (10) 4.2 仿真结果 (11) 小结 (12) 元件清单 (13) 参考文献 (14) 附录 (15) 附录A:电路原理图 (15) 附录B:电路PCB图 (16)

1 设计课题任务、功能要求说明及总体方案介绍 1.1 设计课题任务 设计并制作一个篮球竞赛计时器 1.2 功能要求说明 1.准确计时,具有显示30秒计时功能; 2.设置外部操作开关,控制计时器的直接清零、启动和暂停、连续功能; 3.计时器为30s递减计时器,其计时间隔为1s; 4.计时器递减计时到零时,数码显示器不能灭灯,同时发出光电报警信号。 1.3 总体方案介绍 篮球竞赛30秒计时器的总体方案框图如图1.1所示。它包括秒脉冲发生器、计数器、译码显示电路、报警电路和辅助时序控制电路(简称控制电路)等五个模块组成。其中计数器和控制电路时系统的主要模块。计数器完成30秒技术功能,而控制电路完成计数器的直接清零、启动计数、暂停/连续计数、译码显示电路的显示、定时完成报警等功能。 图1.1 30秒计时器的总体方案框图

24秒篮球倒计时数电实验报告

24秒篮球倒计时数电实验报告

法商学院 《数字电路课程设计》 课程设计报告 专业: 应用电子技术 班级: 应电11301 姓名: 周灵 姓名: 李雄威 指导教师:沈田

课程设计任务书 设计题目:篮球竞赛24秒倒计时器 设计任务与要求: 设计一个篮球竞赛24秒倒计时电路,该电路能实现如下功能: 1)24秒倒计时显示功能; 2)设置外部控制开关,控制计数器的重置“24”、启动和暂停功能; 3)计数器递减至0(即时间到)时,数码管显示“00”,同时发出光电报警信号。 一、电路设计原理 经过对电路功能的分析,整个电路主要由控制电路、秒脉冲信号发生器、计数器、译码器和报警电路五个部分组成。示意图如图1所示。其中计数器和控制电路是系统的主要模块。计数器完成24秒计时功能,而控制电路完成计数器的直接清零、启动计数、暂停/连续计数、译码显示电路的显示与灭灯、定时时间到报警等功能。秒脉冲发生器产生的信号是电路的时钟脉冲和定时标准,但本设计对此信号要求并不是太高,故电路可采用555集成电路或由TTL与非组成的多谐振荡器构成。主体电路:24秒倒计时。24秒计数芯片的置数端清零端共用一个开关,比赛开始后,24秒的置数端无效,24秒的倒数计时器开始进行倒计时,逐秒倒计一之到零。选取“00”这个状态,通过组合逻辑电路给出截断信号,让该信号与时钟脉冲在与门中将时钟截断,使计时器在计数到零时停止。

图1-1 24秒计时器系统设计框图 二、单元电路分析 (一)控制电路 控制电路由74LS00芯片和74LS10芯片组成,实现计数器的复位、计数和保持“24”数字显示,以及报警的功能。如图2-1-1为EWB控制电路仿真图。 图2-1-1 EWB控制电路仿真图 (1)开关A:启动按钮、复位按钮 开关A接地时,计数器保持“24”状态不变,处于等待状态; 当开关A闭合时,计数器开始计时,当计数器递减计数到零时,控制电路产生报警信号; 当开关A再次接地时,计数器立即复位到预置数值,即“24”。 (2)开关B:归零按钮 当开关B接高电平时,不管计数器显示任何数值,计数器立即归零,即“00”。(3)开关C:暂停按钮 当暂停/连续开关(开关C)暂停时,计数器暂停计数,显示器保持不变; 当暂停/连续开关(开关C)处于连续时,计数器继续倒计时计数。 (二)秒脉冲发生器 为了给计数器74LS192提供一个时序脉冲信号,使其进行减计数,本设计采用555构成的多谐振荡电路(即脉冲产生电路),其基本电路如图2-2-2。

#篮球比赛计时计分器的毕业设计

毕业设计(论文) 篮球比赛计时计分器的设计The design of basketball game time and scoring 班级电气自动化092班 学生姓名杨杰学号 930706036 指导教师周天沛职称讲师 导师单位徐州工业职业技术学院 论文提交日期 2011年11月18日

徐州工业职业技术学院 毕业设计(论文)任务书 课题名称篮球比赛计时计分器的设计课题性质设计制作类 班级电气自动化092班 学生姓名杨杰 学号 930706036 指导教师周天沛 导师职称讲师

一. 选题意义及背景 篮球比赛是根据运动队在规定的比赛时间里得分多少来决定胜负的,因此,篮球比赛的计时计分系统是一种得分类型的系统。篮球比赛的计时计分系统由计时器,计分器等多种电子设备组成,同时,根据目前高水平篮球比赛要求,完善的篮球比赛计时计分系统设备应能够与现场成绩处理,现场大屏幕,电视转播车等多种设备相联,以便实现高比赛现场感,表演娱乐观众等功能目标。 二. 毕业设计(论文)主要内容: 任务:设计一个用于赛场的篮球计时计分器。 要求:1、能记录整个赛程的比赛时间,并能修改比赛时间。 2、能随时刷新甲、乙两队在整个过程中的比分。 3、比赛结束时,能发出报警声。 其中硬件部分包括键盘和显示器接口电路的设计。软件部分利用单片机编程软件编写程序,并利用protest软件进行调试,完成毕业论文。 预期成果为设计实物一件,提交毕业设计论文一篇。 三.计划进度: 第8 周查阅资料、选型对比调研,初步确定控制电路的总体设计。 第8-10 周完成硬件线路设计及元器件的选型。 第11 周单片机软件程序的编写。 第12 周调试系统达到设计要求,并完成毕业论文。 第13 周答辩。 四.毕业设计(论文)结束应提交的材料: 1、论文一篇(8000字以上) 2、实物一件 指导教师教研室主任 年月日年月日

篮球24秒倒计时器报告

电子课程设计报告 题目名称:篮球竞赛30秒倒计时器 姓名: 专业: 班级: 学号: 同组人: 指导老师: 南昌航空大学电子信息工程学院 二零零八年九月

电子信息工程学院 电子基础课程设计任务书 I、电子基础课程设计题目: 篮球竞赛30S计时器 II、电子基础课程设计技术要求及主要元器件: 基本要求:1)具有显示30S计时功能,启动和暂停/连续功能, 2)在直接清零时,要求数码显示器灭灯, 3)设置外部操作开关,控制计数器的直接清零, 4)计时器为30S递减计时,计时间隔为1S, 5)计时器递减计时到零时,数码显示器不能灭灯,同时发出光电报警信号。 主要元器件:NE555(1),74ls161(1),74LSl92(2) I II、电子基础课程设计工作内容及进度安排: 1.方案设计,绘制电路图并仿真(2天) 2.电路布线和焊接(3天) 3.电路的调试(2天) 4.课程设计总结和报告(7天)Ⅳ、主要参考资料: 1.杨志忠.数字电子技术(第二版).北京:高等教育出版社,2000 2.胡宴如.高频电子线路(第三版).北京:高等教育出版社,2005 3.王毓银.数字电路逻辑设计(第三版).北京:高等教育出版社,1999 专业名称:电子信息工程班级学号: 06041304 学生姓名:李莉

摘要 随着世界篮球水平的提高,对计时的准确度及可靠性也越来越高,篮球30秒倒计时器也就孕育而生了。 设计一个篮球30秒倒计时器,主要解决的问题是实现倒计时功能。所以必须要有一个脉冲,为确保产生的脉冲稳定,该设计采用555时基电路构成的多谐振荡器产生周期为0.1秒的脉冲,再通过74LS161十分频来产生周期为1秒的脉冲。计数部分用74LS192芯片来实现,192芯片是8421码计时的,符合30秒读数的需要。译码部分采用74LS248芯片,74LS248是把8421BCD 码经过内部作和电路“翻译”成七段(a ,b ,c ,d ,e ,f ,g )输出,然后直接推动LED ,显示十进制数。显示部分采用七段数码管,数码管的使用很广泛,价格也不会很贵。整个线路就是把以上几个主要的部分用导线连接焊接起来。 在许多领域中,计时器都得到了普遍应用,比如在体育比赛中的计时器、安全措施中的定时报警器、游戏中的倒计时、维持秩序的交通信号灯、红绿灯、交通信号控制机、闹钟等等......可见计时器在现代社会中是很重要的。在设计计时器时,采用了模块化的思想,使得设计简单、快捷。本设计完成了中途计时功能,实现了在许多特定场合进行时间追踪,在社会生活中会具有广泛的应用价值。 关键字: 控制 倒计时 译码显示 光电报警 指导教师: 万在红 设计时间: 2008.9.1——2008.9.15

相关主题
文本预览
相关文档 最新文档