当前位置:文档之家› 篮球24秒计时器的设计与制作

篮球24秒计时器的设计与制作

篮球24秒计时器的设计与制作
篮球24秒计时器的设计与制作

目录

摘要 (1)

1设计任务及要求 (2)

1.1设计任务 (2)

1.2基本要求 (2)

1.2.1初始条件 (2)

1.2.2要求完成的主要任务 (2)

2 方案选择与论证 (3)

2.1 方案的选择 (3)

2.2 方案论证 (4)

3电路框图及工作原理 (4)

3.1电路框图 (4)

3.2设计方案 (5)

4单元电路设计与说明 (6)

4.1时钟脉冲发生器 (6)

4.2 24进制计数器 (7)

4.3 译码显示电路 (9)

4.4控制电路 (10)

4.5 声光报警电路 (10)

4.6 元器件选择 (11)

5电路调试 (11)

5.1 电路调试阶段 (11)

5.2调试方法 (13)

5.3调试步骤 (13)

5.4调试中出现的问题及解决方案 (14)

5.5调试结果 (14)

结束语 (15)

参考文献 (16)

摘要

该篮球竞赛倒计时电路主要由四个部分构成:时钟脉冲发生器、计数器、译码显示电路以及声光报警电路。时钟脉冲发生器由含555定时器的多谐振荡电路组成,发出频率为1HZ的方波脉冲;计数器主要由两个74LS192构成,具有计时器直接控制电路控制计数器启动计数、暂停/连续计数以及清零置数的功能;译码显示电路主要由两个七段共阴极的数码管和74LS48芯片构成,能够显示24秒倒计数过程;声光报警电路主要由一个发光二极管和一个蜂鸣器组成,当计数器显示00时,发光二极管和蜂鸣器一起工作,进行声光报警。

关键词:时钟脉冲发生器计数器发光二极管蜂鸣器七段共阴数码管

篮球24秒计时器的设计与制作

1设计任务及要求

1.1设计任务

本设计主要能完成:在篮球比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。本课程设计的“篮球竞赛24秒计时器”可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它就自动报警从而判定此球员的犯规。

1.2基本要求

1.2.1初始条件:

(1)具备显示24秒记时功能

(2)计时器为递减工作,间隔为1S

(3)递减到0时发声光报警信号

(4)设置外部开关,控制计时器的清0,启动及暂停

1.2.2要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求)

(1)设计任务及要求

(2)方案比较及认证

(3)系统框图,原理说明

(4)硬件原理,完整电路图,采用器件的功能说明

(5)调试记录及结果分析

(6)对成果的评价及改进方法

(7)总结(收获及体会)

(8)参考资料

(9)附录:器件表,芯片资料

2 方案选择与论证

2.1 方案的选择

方案一(电路原理图):

优点:设计思路及电路连接简单,工作速度快,各部分反应灵敏。

缺点:电路稳定性差,信号发生器易受外界干扰输出不稳定波形,有开关抖动,暂停后再计数会产生跃变

图2-1 方案一电路原理图

方案二(电路原理图):

优点:电路稳定性高,大大削弱了开关抖动带来的计数跃变。

缺点:电路较为复杂,易出错。

图2-2 方案二电路原理图

2.2 方案论证

经过对电路功能的分析,整个24秒倒计时电路可由秒脉冲信号发生器、计数器、译码器、显示电路、报警电路和辅助控制电路组成,而方案二的稳定性更高,因此

选择方案二为最佳方案。

3电路框图及工作原理

3.1电路框图

24秒计时器的总体参考方案框图如下图所示。它包括时钟脉冲发生器、计数器、译码显示电路和声光报警电路等四个模块组成。其中计数器和控制电路是系统的主

要模块。计数器完成24秒计时功能.而控制电路完成计数器的直接清零、启动计数、暂停/连续计数;译码显示电路的显示与灭灯、定时时间到启动报警等功能。

时钟脉冲发生器产生的信号要求是1HZ的方波脉冲,但是设计对此信号要求并不太高,故电路可采用含555集成定时电路组成的多谐振荡器构成。

译码显示电路由74LS48(译码器)和共阴极七段LED显示器组成。

报警电路可用发光二极管与蜂鸣器组成,进行声光报警。

图3-1 24秒计时器系统设计框图

3.2设计方案

该篮球竞赛倒计时电路的最重要的部分是24进制计数器,用 74LS192进行24进制同步减法计数。同时选择74LS48作为BCD码译码器来对7段数码显示管进行译码驱动,选择两个七段数码显示管进行显示。根据设计要求,本课程设计采用555定时器制成的多谐振荡器,对24进制计数器进行秒脉冲的输入。在本设计中,因为我们需要对其进行暂停、清零、报警等控制,所以我们使用了三个开关来控制计数器的各功能的实现。设计的电路图如下所示:

图3-2 选取的减计数器电路原理图

4单元电路设计与说明

4.1时钟脉冲发生器

秒脉冲产生电路,由555定时器和外接元件R1、R2、C 等构成多谐振荡器。下图:其中R 1相当一个定时电阻决定C 的放电的持续时间,起始时,电容C 上电压V C 因放电而下降,当其值低于下阈值1/3V CC 时定时器被触发端触,输转换为高电平,释放电晶体管截止。电容C 开始充电,以(R 1+R 2)C 的常数趋向V CC 。当电容上电压V C 上升到上阈值2/3V CC 时,输出又转换为低电平,并使放电晶体管导电。电容C 又重新通过R 1和放电晶体管放电,近似以R 2C 的时常数趋向于零。当电容C 上电压下降到1/3V CC 时,开始新的循环。如此反复,定时器连续震荡,在输出端产生矩形脉冲在电容C 上形成近似锯齿波的波形。

根据上述分析,利用电路暂态分析的三要素法,得电容C 充电的电压表为 V C =1/3V CC +2/3V CC (1-e -t/τ) (4.1) (4.1)式中, τ =(R 1+R 2)C (4.2)

t=t pH 时V C =V CC ,充电结束。即:

V C =2/3V CC =1/3V CC +2/3V CC (1-e -t/(R1+R2)C ) (4.3) (4.3)从上式中可求得

t pH = ln2 (R 1+R 2)C = 0.7(R 1+R 2)C = 0.7(R 1+R 2)C (4.4) 同理可求得C 放电的电压表示为

V C =2/3V CC e -t/ R2C (4.5) t=t pL 时,V C =1/3V CC ,,放电结束,从式(2.5)可得

V C =1/3V CC =2/3V CC e -t/ R2C (4.6) t pL =τln20.7R 2 (4.7)

振荡周期为 T=t pH +t pL =0.7( R 1+2R 2)C (4.8) 振荡频率为 f=1/T=1.43/(R 1+2R 2)C (4.9) 此555定时器频率为1HZ ,故令C1=10nF ,R 1=28k Ω,R 2 =58 k Ω,输出脉冲频率约为1HZ 。

图4-1 时钟脉冲信号发生器的逻辑电路

4.2 24进制计数器

计数器由两片74LS192同步十进制可逆计数器构成。

74LS192功能简介如下:具有清除和置数等功能,其引脚排列及逻辑符号如图2.2.2所示。其中PL 为置数端,CPu 为加计数端,CPd 为减计数端,TCu 为非同步进

位输出端,TCd 为非同步借位输出端,P0、P1、P2、P3为计数器输入端,MR 为清除端,Q0、Q1、Q2、Q3为数据输出端。

图4-2 74LS192的引脚图及逻辑符号

仿真软件Multisim10中74LS192的图形如图2.2.3所示。其中A 、B 、C 、D 为置数输入端,~LOAD 为置数控制端,CLR 为清零端,UP 为加计数端,DOWN 为减计数端,QA 、QB 、QC 、QD 为数据输出端,~CO 为非同步进位输出端,~BO 为非同步借位输出端。

图4-3 仿真软件中的74LS192引脚图

74LS192

A 15

B 1

C 10

D 9UP 5QA 3QB 2QC 6QD 7DOWN

4

~LOAD 11~BO 13~CO

12

CLR 14

74LS192的功能表如表4-1所示:

表4-1 74LS192的功能表

MR P3

1 ××××

本例为利用减计数器端输入秒脉冲信号,进行减法计数,也就是倒计时。这时

计数器按8421码递减进行减计数。利用借位输出端~BO与下一级74LS192的DOWN

端连接,实现计数器之间的级联。

利用置数控制端~LOAD实现异步置数。当CLR=0,且~LOAD为低电平时,不管UP

和DOWN时钟输入端的状态如何,将使计数器的输出等于并行输入数据,即QDQCQBQA=DCBA。

24循环的设置为,十位片的DCBA=0010,个位片的DCBA=0100

4.3 译码显示电路

译码及显示电路分两种,一种电路是74LS192接译码驱动器74LS48和7段共

阴数码管组成。74LS48芯片具有以下功能:七段译码功能、消隐功能、灯测试功能、动态灭零功能,此电路中我们用到的是七段译码功能。作为译码器,74LS48具有以

下特点:74LS48是BCD-7段译码器/驱动器,输出高电平有效,专用于驱动LED七

段共阴极显示数码管。内部上拉输出驱动,有效高电平输出,内部有升压电阻而无

需外接电阻。七段数码管分共阴、共阳两种,其内部由发光二极管构成,内部有七

个发光段,即a.b.c.d.e.f.g.在发光二极管两端加上适当的电压时,就会发光。

另外一种显示电路由74LS192直接输出给4线输入的七段数码管进行显示,这

样构成的电路简单。

虽然4线输入的七段数码管构成的电路简单很多,但是市场上很难买到4线输

入的七段数码管,所以我们此处利用74LS48显示译码器作为译码器,七段共阴极数

码管显示。

译码及显示电路如下图所示:

图4-4 译码及显示电路

4.4控制电路

控制电路用来完成计数器的复位、启动计数、暂停/继续计数、声光报警等功能。

与非门、非门以及D触发器实现计数器的复位、计数和保持“24”,以及声、

光报警的功能,开关功能说明如下:

开关J1:清零开关。A处于高电平时,计数器清零,控制电路发出声、光报警

信号;当A处于低电平时,才能够进行计数。

开关J3:暂停开关。当“暂停/连续”开关处于“暂停”(开关J3接低电平)时,计数器暂停计数,显示器保持不变;当此开关处于“连续”(开关J3接高电平)时,计数器继续累计计数。

开关J2:置数开关。当开关J2接低电平,不管计数器工作于什么状态,计数

器立即复位到预置数值,即“24”;当开关J2接高电平时,计数器才能从24开始

计数。

4.5 声光报警电路

声光报警电路由发光二极管和蜂鸣器以及一个非门组成,两者同时工作,当计

数器计到00时,控制高位的74LS192芯片的BO端输出为低电平,通过非门后得到

一高电平,从而使发光二极管和蜂鸣器正常工作,进行声光报警。

X1

5V_1W

图4-5 声光报警电路图

4.6 元器件选择

元器件清单如表4-2所示:

5电路调试

5.1 电路调试阶段

图5-1 电路原理图(2)计时阶段如图5-2

图5-2 电路原理图

图5-3 电路原理图

5.2调试方法

(1)分块调试法

分块调试是把总体电路按功能分成几个模块,对每个模块分别进行调试。模块

调试的顺序最好按信号的流向,一块一块进行,逐步扩大调试范围,最后完成总调。实施分块调试有两种方法一种边安装边调试;另一种是总体电路一次组装完毕后再

分块调试。分块调试的优点:问题出现范围小,可及时发现,易于解决。

(2)整体调试

此种方法是把整个电路组装完毕后,不进行分块调试,实行一次性总调。

5.3调试步骤

(1)检查电路对照电路图认真检查电路,首先查看电源是否接错或与地短接,然后检查各芯片是否安装牢固,最后对照电路图认真查看各芯片的管脚是否接错、漏接

或出现多接线的现象。

(2)接通电源观察在检查电路无误后,接通电源,如果出现异常现象立即关闭电源,

观察各个单元电路是否能够正常工作,找出出错的单元电路,如果有错,则用万用表对各个电路逐个检查,直至查出错误,并加以改正。

(3)对各个功能电路的检测闭合工作开关,观察显示结果是否正确,如果存在问题继续调试。调试结束后观察调试后的结果是否符合设计要求。

5.4调试中出现的问题及解决方案

问题一:接通电源后数码管不能正常工作。

解决方案:断开电源后,检查译码显示电路的各元件引脚是否连接正确,结果发现七段共阴极数码管未接低电平。

问题二:计数器计数太慢,减计数间隔远大于一秒。

解决方案:多谐振荡电路输出的信号不稳定,受干扰后信号频率小于1HZ。加大多谐振荡电路中的电阻R2(最好用滑动变阻器),调节电阻的同时,用秒表校准减计数间隔,使其约等于1秒。

问题三:计数器正常工作,暂停计数后,再恢复计数时,产生计数跃变。

解决方案:暂停/连续计数开关产生抖动,在开关J3与三输入与非门之间接入一个D触发器,它起到锁存的作用,大大削弱计数跃变。

5.5调试结果

该篮球竞赛24秒计时电路正常工作,将清零开关J1至低,置数后将置数开关J2置高,再将暂停/连续计数开关J3置高电平,电路开始正常减计数。当J3置地时,计数暂停;当J3再重新至高时,进行连续计数。当计数器减至00时,报警电路工作,同时进行声光报警。

结束语

自己第一次做课程设计,遇到不少困难,收获也很多。刚开始分到设计题目时,觉得思路还蛮清晰,觉得应该蛮容易,可是设计好电路草图后,用Multisim仿真时

就出了问题,先是数码管始终不亮,后来上网查资料后知道,数码管和译码器之间

的每个引脚都要加电阻,仿真软件才能识别。接着又发现计数器没法倒计数(仿真

软件中),结果发现是仿真步长设置小了。最终排除各种问题,仿真成功,虽然计

数器设计的不完善,有轻微跃变。然后便是实物连接了,连接的过程中也存在着各

种各样的困难,比如,面包板接触不良,导线太多、太乱,插错孔,芯片没插紧等,其中最难解决的是多谐振荡电路输出信号不稳定,后来经过小组成员的共同努力终

于使的计数器的计数间隔约为1秒,我们一边调节多谐振荡电路的R2电阻,一边用

秒表校对计数时间间隔,最终使两者近似相等。后来便是答辩,由于小组成员都积

极参与设计,大家对电路连接及原理都有所了解,因此,答辩比较顺利。

对于该实验还有可以有改进的地方,主要就是信号发生器的改进,为了得到更

加稳定的时钟脉冲,我们可以用石英晶体振荡器,它具有高精度和高稳定性。

这次电子电工课程设计让自己有很多收获,首先便是大大提高了自己的动手能

力以及解决问题的能力,遇到困难不再是逃避,而是迎难而上,耐心地分析解决问题。再者便是自己的合作意识提高了,众人拾柴火焰高,大家互相帮助,互相支持,在困难的问题也能迎刃而解。当然,这次课设中自己也有一些不足之处,比如,在

面包板上连线时不够细心,会插错孔;还有就是仿真软件不太会用,经常找不着元件,等等。细节方面有所疏忽,不够严谨。

希望以后能多参加这样的活动,不断提升自己的各方面能力,为今后的学习和

工作打下扎实基础。

参考文献

1.伍时和主编《数字电子技术基础》清华大学出版社 2009

2.康华光主编《电子技术基础》(数字部分)高等教育出版社 1980

3.周新民主编《工程实践与训练教程》武汉理工大学出版社 2009

4.程勇《实例讲解Multisim 10电路仿真》人民邮电出版社 2010

5.朱余钊主编《电子材料与元件》西安电子科技大学出版社 2002

篮球竞赛24秒计时器设计-

学号: 课程设计 题目 学院 专业 班级 姓名 指导教师

年月日

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位: 题目: 篮球24秒记时器的设计与制作 初始条件: (1)具备显示24秒记时功能 (2)计时器为递减工作,间隔为1S (3)递减到0时发声光报警信号 (4)设置外部开关,控制计时器的清0,启动及暂停 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) (1)设计任务及要求 (2)方案比较及认证 (3)系统框图,原理说明 (4)硬件原理,完整电路图,采用器件的功能说明 (5)调试记录及结果分析 (6)对成果的评价及改进方法 (7)总结(收获及体会) (8)参考资料 (9)附录:器件表,芯片资料 时间安排: 6月16日~6月19日:明确课题,收集资料,方案确定 6月19日~6月21日:整体设计,硬件电路调试 6月21日~6月24日;报告撰写,交设计报告,答辩 指导教师签名:2014年 6月日

前言 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。 在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机、还可以用来做为各种药丸,药片,胶囊在指定时间提醒用药等等,由此可见计时器在现代社会是何其重要的。 篮球作为一项全民健身项目,已有一定的历史。在中国,篮球很盛行,篮球比赛也日趋职业化。篮球比赛中有一项违例时间要用倒计时器,目前多数采用的是24秒制。有需要就会有市场,因此设计一款24秒计时器是非常有必要也非常有前景的。 该计时器要有递减计时及报警功能。因此符合比赛中违例判罚的需要。 在NBA比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。本课程设计的“篮球竞赛24秒计时器”,可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它自动的报警从而判定此球员的犯规。 本设计主要能完成:显示24秒倒计时功能;系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;计时器为24秒递减计时其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。 整个电路的设计借助于proteus仿真软件和数字逻辑电路相关理论知识,并在proteus下设计和进行仿真,得到了预期的结果。

篮球24秒计时器设计

CENTRAL SOUTH UNIVERSITY 本科生毕业论文(设计) 题目篮球24秒定时器系统设计 学生姓名高能 指导教师李长庚 学院物理科学与技术学院 专业班级电子工程(09A) 完成时间2011-4-8

摘要 本电路主要由五个模块构成:秒脉冲发生器、计数器、译码显示电路、控制电路和报警电路,主要采用555 作为振荡电路, 由74LS192、74LS48 和七段共阴LED 数码管构成计时显示电路, 具有计时器直控制电路直接控制计数器启动计数、暂停/连续计数、译码显示电路的显示等功能。当控制电路的置数开关闭合时,在数码管上显示数字24,每当一个秒脉信号输入到计数器时,数码管上的数字就会自动减1,当计时器递减到零时,报警电路发出光电报警信号。 关键词:计数器;24秒倒计;译码显示电路;控制电路;报警电路

Abstract The circuit has five main modules: pulse generator, counters, display decoder circuit, control circuit and alarm circuit is mainly used as an oscillator circuit 555 by the 74LS192, 74LS48 and a total of Seven-Segment LED digital tube Yam constitute a time circuit, Direct control circuit has a timer counter to start counting direct control, pause / row count, the display of display circuit decoding functions. When the control circuit to open the closure of the home several time, digital tube display in figure 24, every time 1 seconds pulse signal input to the counter, the digital tube will automatically reduce the number of 1, decreasing to zero when the timer and alarm circuit issued photoelectric alarm signal and the buzz. Key words:counter; 24 seconds counter; decoding display circuit; control circuit; alarm circuit

篮球比赛24秒倒计时电路的设计与制作

篮球比赛24秒倒计时电路的设计与 制作 中国工程物理研究院工学院赵应泽 龙江 一、电路组成 电路由秒脉冲发生器、计数器、译码器、显示电路、报警电路和辅助控制电路五部分组成,见图1。其整机电路如图2所示,印制板电路如图3所示。

1.秒脉冲发生器

秒脉冲产生电路由555定时器和外接元件R1、R2、c构成多谐振荡器。输出脉冲的频率为: 经过计算得到.f≈IHz,即1秒。2.计数器 计数器由两片74Lsl92同步十进制可逆计数器构成。 利用减计数利用预置数,实现计数器按8421码递减进行减计数。利用借位输出端与下一级的cP。连接,实现计数器之间的级联。

利用预置数端实现异步置数。当R。=0,且时,不管cPU 和cPD时钟输入端的状态如何,将使计数器的输出等于并行输入数据,即Q3Q2Q1Q0=D3D2D1D0。 3.译码及显示电路 本电路由译码驱动74Ls48和7段共阴数码管组成。74Ls48译码驱动器具有以下特点:内部上拉输出驱动,有效高电平输出,内部有升压电阻而无需外接电阻。 4.控制电路 完成计数器的复位、启动计数、暂停

/继续计数、声光报警等功能。控制电路由Ic5组成。Ic5B受计数器的控制。Ic5c、Ic5D组成Rs触发器,实现计数器的复位、计数和保持"24"、以及声、光报警的功能。 (1)K1:启动按钮。K1处于断开位置时,当计数器递减计数到零时,控制电路发出声、光报警信号,计数器保持"24"状态不变,处于等待状态。当K1闭合时,计数器开始计数。 (2)K2:手动复位按钮。当按下I(2时,不管计数器工作于什么状态,计数器立即复位到预置数值,即"24"。当松开K2时,计数器从24开始计数。

数字电子技术课程设计篮球比赛30s计时器

一、设计目的 1.培养理论联系实际的正确设计思想,训练综合运用已经学过的理论和生产实际知识去分析和解决工程实际问题的能力 2.学习较复杂的电子系统设计的一般方法,了解和掌握模拟、数字电路等知识解决电子信息方面常见实际问题的能力,由学生自行设计、自行制作和自行调试。3.运行基本技术训练,如基本仪器仪表的使用,产业元器件的识别、测量、熟练运用的能力,掌握设计资料、手册、标准和规以及使用仿真软件、实验设备进行调试和数据处理等。 4.培养学生的创新能力。 二、设计要求 1.30秒计时器具有显示30秒的计时功能。 2.系统设置外部操作开关,控制计时器的直接置数、清零、启动、和暂停功能。3.计时器为30秒递减计时时,其计时间隔为1秒。 4.当计时器递减计时到零时,数码显示器不能灭灯,LED变亮报警。 三、总体设计 本实验的核心部分是要设计一个30s计数器,并且对计数结果进行实时显示,同时要实现设计任务中提到的各种控制要求,因此该系统包括秒脉冲发生器、计数器、译码显示电路、辅助时序控制电路(简称控制电路)和报警电路等5个部分构成。其中,计数器和控制电路是系统的主要部分。计数器完成30s计时功能,而控制电路具有直接控制计数器的启动计数、暂停、连续计数、译码显示电路的显示和灭灯功能。为了满足系统的设计要求,在设计控制电路时,应正确处理各个信号之间的时序关系。在操作直接清零开关时,要求计数器清零,数码显示器显示零。当启动开关闭合时,控制电路应封锁时钟信号CP,同时计数器完成置数功能,译码显示电路显示30s字样;当启动开关断开

时,计数器开始计数;当暂停、连续开关拨在暂停位置上时,计数器停止计数,处于保持状态;当暂停、连续开关拨在连续时,计数器继续递减计数。系统设计框图如图下图所示。 图1 四、单元电路设计 1、译码显示电路 用发光二极管(LED )组成字型来来显示数字。这种数码管的每个线段都是一个发光二极管,因此也称LED 数码管或LED 七段显示器。因为计算机输出的是BCD 码,要想在数码管上显示十进制数,就必须先把BCD 码转换成 7 段字型数码管所要求的代码。我们把能够将计算机输出的BCD 码换成 7 段字型代码,并使数码管显示出十进制数的电路称为“七段字型译码器”因此在本次的设计中我们采用了常用的74LS48。 在数字测量仪表和各种数字系统中,都需要将数字量直观地显示出来,一方面供人们直接读取测量和运算的结果;另一方 面用于监视数字系统的工作情况。因此,数字显示电路是许多数字设备不可缺少的部分。数字显示电路通常由译码器、驱动 器和显示器等部分组成,如下图所示。下面对显示器和译码驱动器分别进行介绍。

篮球计时器-篮球24秒计时器

电子信息工程学院 电子基础课程设计任务书 I、电子基础课程设计题目: 篮球竞赛30S计时器 II、电子基础课程设计技术要求及主要元器件: 基本要求:1)具有显示30S计时功能,启动和暂停/连续功能, 2)在直接清零时,要求数码显示器灭灯, 3)设置外部操作开关,控制计数器的直接清零, 4)计时器为30S递减计时,计时间隔为1S, 5)计时器递减计时到零时,数码显示器不能灭灯,同时发出光电报警信号。 主要元器件:NE555(1),74ls161(1),74LSl92(2) I II、电子基础课程设计工作内容及进度安排: 1.方案设计,绘制电路图并仿真(2天) 2.电路布线和焊接(3天) 3.电路的调试(2天) 4.课程设计总结和报告(7天)Ⅳ、主要参考资料: 1.杨志忠.数字电子技术(第二版).北京:高等教育出版社,2000 2.胡宴如.高频电子线路(第三版).北京:高等教育出版社,2005 3.王毓银.数字电路逻辑设计(第三版).北京:高等教育出版社,1999 专业名称:电子信息工程班级学号: 06041304 学生姓名:李莉

摘要 随着世界篮球水平的提高,对计时的准确度及可靠性也越来越高,篮球30秒倒计时器也就孕育而生了。 设计一个篮球30秒倒计时器,主要解决的问题是实现倒计时功能。所以必须要有一个脉冲,为确保产生的脉冲稳定,该设计采用555时基电路构成的多谐振荡器产生周期为0.1秒的脉冲,再通过74LS161十分频来产生周期为1秒的脉冲。计数部分用74LS192芯片来实现,192芯片是8421码计时的,符合30秒读数的需要。译码部分采用74LS248芯片,74LS248是把8421BCD 码经过内部作和电路“翻译”成七段(a ,b ,c ,d ,e ,f ,g )输出,然后直接推动LED ,显示十进制数。显示部分采用七段数码管,数码管的使用很广泛,价格也不会很贵。整个线路就是把以上几个主要的部分用导线连接焊接起来。 在许多领域中,计时器都得到了普遍应用,比如在体育比赛中的计时器、安全措施中的定时报警器、游戏中的倒计时、维持秩序的交通信号灯、红绿灯、交通信号控制机、闹钟等等......可见计时器在现代社会中是很重要的。在设计计时器时,采用了模块化的思想,使得设计简单、快捷。本设计完成了中途计时功能,实现了在许多特定场合进行时间追踪,在社会生活中会具有广泛的应用价值。 关键字: 控制 倒计时 译码显示 光电报警 指导教师: 万在红 设计时间: 2008.9.1——2008.9.15

数电课程设计篮球24秒倒计时

目录 前言 ............................................ 错误!未定义书签。第一章计时器 ................................................ - 2 - 1.1篮球竞赛24秒计时器功能................................ - 2 - 1.2 设计任务及要求 (2) 1.2.1基本要求 (2) 1.2.2 设计任务及目标..................................... - 2 - 1.2.3 主要参考器件:..................................... - 3 - 第二章电路设计原理与单元模块 .. (3) 2.1 设计原理 (4) 2.2 设计方案 (4) 2.3 单元模块 (4) 2.3.1 8421BCD码递减计数器模块 (5) 2.3.2 时钟模块 (7) 2.3.3 辅助时序控制模块 (8) 第三章实验体会 (10)

第一章计时器概述 1.1篮球竞赛24秒计时器功能 随着信息时代的到来,电子技术在社会生活中发挥着越来越重要的作用,运用模电和数电知识设计的电子产品成为社会生活不可缺少的一部分,特别是在各种竞技运动中,定时器成为检验运动员成绩的一个重要工具。在篮球比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。本课程设计的“篮球竞赛24秒计时器”,可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它自动的报警从而判定此球员的犯规。 本设计主要能完成:显示24秒倒计时功能;系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;在直接清零时,数码管显示器灭灯;计时器为24秒递减计时其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。 1.2 设计任务及要求 1.2.1基本要求 1. 具有24秒计时功能。 2. 设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能。 3. 在直接清零时,要求数码显示器灭灯。 4. 计时器为24秒递减时, 计时间隔为1秒。 5. 计时器递减到零时,数码显示器不能灭灯,同时发出光电报警信号。1.2.2 设计任务及目标 (1)根据原理图分析各单元电路的功能; (2)熟悉电路中所用到的各集成块的管脚及其功能;

篮球24秒计时器

电子课程设计 ——篮球24秒计时器 学院:电子信息工程 专业:电子101501 姓名: 学号:201015020131 指导教师: 2012年12月

篮球24秒计时器 一设计任务与要求 (1)有显示24秒的计时功能; (2)置外部操作开关,控制计时器的直接清零,起碇和暂停\连续功能; (3)计时器为24秒递减计时器,其间隔时间为1秒; (4)计时器递减计时到零时,数码显示器不能灭灯,就发出光电报警信号。 二、总体框图 方案一:利用VHDL语言构成的24s篮球可控计时器 方案二:由各个单元模块构成的24s篮球可控计时器

从框图可知:方案一是完全利用VHDL语言编写各个模块,然后将所有模块连接进行仿真及测试;而方案二是利用已有的芯片构成相应模块,后组合连接仿真。方案二在设计中有一定的简便性且不用编写繁琐的程序,因此,以下设计采用方案二。 篮球24秒计时器,它包括秒脉冲发生器、计数器译码显示、控制电路和报警电路五部分组成。其中计数器和控制电路是电路的主要部分。计数器完成24秒计时功能。而控制电路完成电路的直接清零、启动计数、暂时/连续计数;译码显示电路的显示与亮灯和到时间启动报警功能。秒脉冲发生器产生的信号是电路的时钟脉冲和定时标准。 注:(1)由于实验箱具备提供不同频率的脉冲,在具体设计时将省略秒脉冲发生器部分。 (2)为了能下载到实验箱验证结果,方案二的译码电路部分采用方案一的显示电路。 三、选择器件 (1)同步十进制双时钟加减计数器74LS192(以下简称74192) (2)四2输入与非门74LS00(以下简称7400) (3)EP1C12核心板白色按钮(PB0,PB1) (4)EP1C12核心板贴片二极管(LED3) (5)EP1C12核心板数码管(仅两位) 四、功能模块 (1)25进制减法计数器 此部分采用两个74192构成25进制减法计数器,具备置数和清零的功能。

课程设计--篮球竞赛24秒计时器

课程设计--篮球竞赛24秒计时器

一、课题名称 二、内容摘要 本设计主要是完成篮球竞赛24秒计时器,显示24秒倒计时功能,系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;在直接清零时,数码管显示器全部显示为“0”;计时器为24秒递减计时,其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。 整个电路的设计借助于Multisim 10.0.1仿真软件和数字逻辑电路相关理论知识,并在Multisim 10.0.1下设计和进行仿真,得到了预期的结果。 关键字:计时器;数码显示器;Multisim 随着信息时代的到来,电子技术在社会生活中发挥着越来越重要的作用,运用模电和数电知识设计的电子产品成为社会生活不可缺少的一部分,特别是在各种竞技运动中,定时器成为检验运动员成绩的一个重要工具。在篮球比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。本课程设计的“篮球竞赛24秒计时器”,可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它自动的报警从而判定此球员的犯规。 本设计主要能完成:显示24秒倒计时功能;系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;在直接清零时,数码管显示器灭灯;计时器为24秒递减计时其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。

三、设计内容及要求 1.2.1基本要求 (1)显示24秒计时功能。 (2)控制计时器直接清零、启动、暂停/连续功能。 (3)计时器为24秒递减计时器。 (4)递减计时到零时,显示器不能灭灯,同时发出光电报警信号。 1.2.2 设计任务及目标 (1)根据原理图分析各单元电路的功能; (2)熟悉电路中所用到的各集成块的管脚及其功能; (3)进行电路的装接、调试,直到电路能达到规定的设计要求; (4)写出完整、详细的课程设计报告。 四、方案论证及比较 本设计的核心部分是要设计一、 个24s倒计数器,并且对计数结果进行实时显示,同时要实现设计任务中提 到的各种控制要求,因此该系统包括秒脉冲发生电路,计数器电路,译码显示电路,控制电路和电路报警电路5部分。其中,计数器电路和控制电路时系统的主要部分。计数器电路完成24s倒计时功能,而控制电路具有直接控制计

篮球24秒倒计时器报告

电子课程设计报告 题目名称:篮球竞赛30秒倒计时器 姓名: 专业: 班级: 学号: 同组人: 指导老师: 南昌航空大学电子信息工程学院 二零零八年九月

电子信息工程学院 电子基础课程设计任务书 I、电子基础课程设计题目: 篮球竞赛30S计时器 II、电子基础课程设计技术要求及主要元器件: 基本要求:1)具有显示30S计时功能,启动和暂停/连续功能, 2)在直接清零时,要求数码显示器灭灯, 3)设置外部操作开关,控制计数器的直接清零, 4)计时器为30S递减计时,计时间隔为1S, 5)计时器递减计时到零时,数码显示器不能灭灯,同时发出光电报警信号。 主要元器件:NE555(1),74ls161(1),74LSl92(2) I II、电子基础课程设计工作内容及进度安排: 1.方案设计,绘制电路图并仿真(2天) 2.电路布线和焊接(3天) 3.电路的调试(2天) 4.课程设计总结和报告(7天)Ⅳ、主要参考资料: 1.杨志忠.数字电子技术(第二版).北京:高等教育出版社,2000 2.胡宴如.高频电子线路(第三版).北京:高等教育出版社,2005 3.王毓银.数字电路逻辑设计(第三版).北京:高等教育出版社,1999 专业名称:电子信息工程班级学号: 06041304 学生姓名:李莉

摘要 随着世界篮球水平的提高,对计时的准确度及可靠性也越来越高,篮球30秒倒计时器也就孕育而生了。 设计一个篮球30秒倒计时器,主要解决的问题是实现倒计时功能。所以必须要有一个脉冲,为确保产生的脉冲稳定,该设计采用555时基电路构成的多谐振荡器产生周期为0.1秒的脉冲,再通过74LS161十分频来产生周期为1秒的脉冲。计数部分用74LS192芯片来实现,192芯片是8421码计时的,符合30秒读数的需要。译码部分采用74LS248芯片,74LS248是把8421BCD 码经过内部作和电路“翻译”成七段(a ,b ,c ,d ,e ,f ,g )输出,然后直接推动LED ,显示十进制数。显示部分采用七段数码管,数码管的使用很广泛,价格也不会很贵。整个线路就是把以上几个主要的部分用导线连接焊接起来。 在许多领域中,计时器都得到了普遍应用,比如在体育比赛中的计时器、安全措施中的定时报警器、游戏中的倒计时、维持秩序的交通信号灯、红绿灯、交通信号控制机、闹钟等等......可见计时器在现代社会中是很重要的。在设计计时器时,采用了模块化的思想,使得设计简单、快捷。本设计完成了中途计时功能,实现了在许多特定场合进行时间追踪,在社会生活中会具有广泛的应用价值。 关键字: 控制 倒计时 译码显示 光电报警 指导教师: 万在红 设计时间: 2008.9.1——2008.9.15

篮球24秒倒计时器设计

湖南工业大学 课程设计 资料袋 电气与信息工程学院(系、部)2016~2017 学年第 1 学期课程名称电子技术课程设计指导教师黄卓冕职称讲师 学生姓名谢富专业班级电气工程1401 学号14401300114 题目篮球比赛24秒计时器设计 成绩起止日期2016 年11 月14 日~2016 年11 月18 日 目录清单

湖南工业大学 课程设计任务书 2016年~2017学年第1 学期 电气与信息工程学院(系、部)电气工程专业1401 班级课程名称:电子技术课程设计 设计题目:篮球比赛24秒计时器设计 完成期限:自2016 年11 月14 日至2016 年11 月18 日共 1 周

安 排 2016.11.15--17 学生进行设计 2015.11.18 学生修改、打印设计报告 主要参考资料[1] 康华光电子技术基础模拟部分(第五版)高等教育出版社 2007年 [2] 欧伟明. 实用数字电子技术. 北京:电子工业出版社,2012 [3] 陆应华. 电子系统设计教程. 北京:国防工业出版社,2005 [4] 李忠波等. 电子技术仿真与实践. 北京:机械工业出版社,2004 指导教师(签字):年月日 系(教研室)主任(签字):年月日 电子技术课程设计 设计说明书 起止日期:2016 年11 月14 日至2016 年11 月18 日篮球比赛24秒计时器设计

学生姓名谢富 班级电气工程1401 学号14401300114 成绩 指导教师(签字) 电气与信息工程学院(部) 篮球比赛24秒计时器设计 设计目的及要求 一、设计要求 (1)设计指标 1、具有24秒计时功能。 2、设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能。 3、在直接清零时,要求数码显示器灭灯。 4、计时器为24秒递减时, 计时间隔为1秒。 5、计时器递减到零时,数码显示器不能灭灯,同时发出光电报警信号。 6、将24秒递减计时器改为24秒递增计时器,试问电路要作哪些相应的改动。 它包括秒脉冲发生器、计数器、译码显示电路、辅助时序控制电路(简称控制电路)和报警电路等五个部分组成。其中计数器和控制电路是系统的主要部分。计数器完成24秒计时功能,而控制电路是控制计时器的直接清零、启动计数和暂停/连续计数、译码显示电路的显示和灭灯等功能。 为保证系统的设计要求,在设计控制电路时,应正确处理各个信号之间的时序关系: 1.操作直接清零开关时,要求计数器清零,数码显示器灭灯。 2.当启动开关闭合时,控制电路应封锁时钟信号CP(秒脉冲信号),同时计数器完成置数功能,数码显示器显示24秒

篮球24秒计时器课程设计报告

课程设计 题目篮球竞赛24秒计时器 学院自动化学院 专业自动化 班级自动化0902 姓名李鑫 指导教师杨莉林伟 2011 年 6 月30 日 目录 摘要 (2) ABSTRACT (3) 第1章设计任务及基本要求 (4) 1.1设计任务 (4) 1.2基本要求 (4) 第2章电路框图及工作原理 (4) 2.1电路框图 (4) 2.2 设计方案 (5) 第3章各单元电路的设计 (5) 3.1 24进制计数器的设计 (5) 3.2数码显示电路的设计 (7) 3.3秒脉冲的设计 (8) 3.4各控制开关的设计 (9) 3.5报警电路的设计 (11)

3.6整机工作原理 (12) 第4章电路仿真 (12) 第5章数字电路的连接与调试 (14) 结论............................................................................................. 错误!未定义书签。 参考文献 (16) 附录一篮球竞赛24秒计时器总电路原理图......................... 错误!未定义书签。 附录二原器件清单表 (18) 摘要 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识与实践能力相结合的重要环节,是真正锻炼学生能力的环节。为今后进行复杂的综合型电子系统的设计和调试打下基础。 在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机,还可以用来做为各种药丸、药片,胶囊在指定时间提醒用药等等,由此可见计时器在现代社会的应用是相当普遍的。 本设计主要能完成:电路具有24秒时间显示功能;系统设置外部操作开关,控制计时器的置数、启动/连续和暂停功能;计数过程中,无论处于何种状态,当按下置数键时,计数重新开始;计时器为递减计时,其计时间隔为1秒;当计时器递减计时到零时,显示器显示00,同时发出CP 同步闪烁报警信号等。整个电路的设计借助于Proteus仿真软件以及数字电路相关理论知识,得到了预期的结果。 关键词:24秒计数报警清零暂停 ABSTRACT

multisim篮球24秒倒计时器期末论文

安徽财经大学本科毕业设计(论文)第1页 安徽财经大学 Multisim期末设计 篮球比赛24秒倒计时器 年级: x信工x班 学号: 201xxxxxx 姓名: xxxxx 专业: 电子信息工程 指导老师: xxxx 二零一三年六月

目录 摘要 (1) 1.引言 (1) 1.1目的和意义 (3) 1.2 本系统主要研究内容 (3) 2.系统分析 (3) 2.1 系统组成 (3) 2.2 系统工作原理 (4) 3.系统硬件设计 (4) 3.1系统硬件总体设计 (4) 3.2子系统(模块)一 (4) 3.3子系统(模块)二 (6) 4.系统软件设计 (7) 4.1 系统软件总体设计 (7) 4.2 子系统一 (8) 4.3子系统二 (8) 5.系统使用说明 (10) 5.1 系统安装及配置说明 (10) 5.1.1 系统运行环境 (10) 5.1.2 系统安装及配置 ........................................................... 错误!未定义书签。 5.2 系统操作说明 (10) 6.结论 (10) 参考文献 (11) 附录 ................................................................................................................ 错误!未定义书签。致谢 ................................................................................................................ 错误!未定义书签。

NBA篮球竞赛24秒计时器设计

NBA篮球竞赛24秒计时器的设计 一.计时器电路的设计要求 要求:设计一个24秒计时器显示报警系统:电路启动后开始倒计时,计时间隔为1s,并要求由555定时器构成的多谐振荡器产生周期为1s的脉冲信号,作为计数器的时钟脉冲, 二位7段显示秒,倒计时至0秒时,电路报警。报警声持续3S,显示器不能灭灯.计时器的直接清零、启动和暂停/连续功能利用外部开关控制。 二.系统设计结构框图 根据给定设计任务及要求,确定系统原理框图如图1所示。图中,计数器完成24秒倒计时功能, 控制电路完成计数器的直接清零、启动计数、暂停/连续计时,译码显示电路的显示与熄灭、定时时间到报警功能。 图1.系统设计结构框图 三.电路设计所采用的主要芯片简介 1.74LS192集成计数器 (1)74LS192引脚图如下

2.555定时器 555 定时器是一种模拟和数字电路混合的集成电路。它结构简单、性能可靠、使用灵活,在波形的产生与变换、测量与控制、家用电器、电子玩具等许多领域中都得到了应用。目前生产的定时器有双极型和CMOS 两种类型.通常,双极型定时器具有较大的驱动能力,而CMOS 定时器具有低功耗、输入阻抗高等优点。555 定时器工作的电源电压范围很宽,并可承受较大的负载电流。 74LS47 用于驱动共阳极数码管,其输出端与七段显示器相连,七段显示器用于显示数字和字符。它由7 条发光二极管(a~g)和 1 个点状发光二极管(h) 组成。是共阴极器件(阴极接地),给a~g 高电平,相应的二极管发光。

四.电路各个功能部分设计 1.标准秒脉冲发生电路 标准秒脉冲发生电路如图2所示, 它是由555定时器组成的多谐振荡器。定时元件R1 为630kΩ, R2 为400kΩ, C为1μF,产生1Hz的标准脉冲信号。 计算公式如下: 充电时间为=(+)Cln2 ≈ 0.7 (+) C 放电时间为=Cln2 ≈ 0.7 C 振荡周期为T =+≈ 0.7 (+ 2 ) C 振荡频率为 图2.标准秒脉冲发生电路

篮球24秒倒计时器课程设计报告

厦门城市学院 2014-2015学年第一学期 《EDA软件设计》 ——课程设计论文 课题:篮球比赛24秒倒计时器的设计与仿真分析 所在系部:电子信息与工程系 年级:11级 班级:电信Z1 姓名:林鑫豪 学号:W140242131 提交时间:2015 年 1 月8 日

一、设计题目 篮球比赛24秒倒计时器 二、主要内容 1、分析设计题目的具体要求 2、完成课题所要求的各个子功能的实现 3、用multisim软件完成题目的整体设计 三、具体要求 (1)具有显示24s 倒计时功能:用两个共阴数码管显示,其计时间隔为1s。 (2)分别设置启动键和暂停/继续键,控制两个计时器的直接启动计数,暂停/继续计数功能。 (3)设置复位键:按复位键可随时返回初始状态,即进攻方计时器返回到24s。 (4)计时器递减计数到“00”时,计时器跳回“24”停止工作,并给出声音和发光提示,即蜂鸣器发出声响和发光二极管发光。 四、进度安排 第一天:介绍所用仿真软件;布置任务,明确课程设计的完整功能和要求。第二天:消化课题,掌握设计要求,明确设计系统的全部功能,图书馆查阅资料。 第三天:确定总体设计方案,画出系统的原理框图。 第四天:绘制单元电路并对单元电路进行仿真。 第五天:分析电路,对原设计电路不断修改,获得最佳设计方案。 第六天:完成整体设计并仿真验证。 第七天:对课程设计进行现场运行检查并提问,给出实践操作成绩。 第八天:完成实践报告的撰写 五、成绩评定 课程设计成绩按优、良、中、及格、不及格评定,最终考核成绩由四部分组成:

1、理论设计方案,演示所设计成果,总成绩40%; 2、设计报告,占总成绩30%; 3、回答教师所提出的问题,占总成绩20%; 4、考勤情况,占总成绩10%; 无故旷课一次,平时成绩减半;无故旷课两次平时成绩为0分,无故旷课三次总成绩为0分。迟到20分钟按旷课处理。

单片机课程设计(24秒篮球计数器)

1.引言 目前,单片机正朝着高性能和多品种方向发展趋势将是进一步向着CMOS化、低功耗、小体积、大容量、高性能、低价格和外围电路内装化等几个方面发展。 单片机模块中最常见的是数字钟,数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用。 数字钟是采用数字电路实现对时,分,秒。数字显示的计时装置,广泛用于比赛,车站, 码头办公室等公共场所,成为人们日常生活中不可少的必需品,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度,远远超过老式钟表, 钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、自动起闭路灯、定时开关烘箱、通断动力设备、甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常现实的意义。 2 设计要求 1、具有24s计时功能。 2、设置外部操作开关,控制计时器的直接清零、启动和暂停 /连续功能。 3、计时器为24秒递减时,计时间隔为1秒。 4、计时器递减到零时,数码显示器不能灭灯,同时发出光电报警信号。 5、有直接清零然后恢复到24秒,准备重新开始计数。 学生在教师指导下,综合运用所学知识完成基于单片机的篮球比赛24秒计时器设计。要求设计一个24秒计时电路,并具有时间显示的功能。 要求: 1、设置外部操作开关,控制计数器的直接清零、启动和暂停/连续计时。

2、要求计时电路递减计时,每隔1秒钟,计时器减1。 3、当计时器减到0时,显示器上显示00,同时发出光电报警信号。 3设计思路: 选用AT89C51作为主控芯片,晶振是6KHz,机械周期为1ms,所以循环10次为1s。P0口作为段码输出,P2.0、P2.1作为位控,高电平有效。数码管是液晶显示,采用动态显示,两个串行口作为中断入口,高电平有效,启动T0定时器/计数器进行计数,低电平有效。图2.2.1是系统硬件设计电路图一。 时间设置完后,启动定时器T0开始定时计数。计时采用倒计时,比如:设置的时间为24秒钟,则在LED上显示24两位数。定时T0计数24秒后中断返回,继续定时计数下一个24秒;同时则在2位LED显示器上显示,表示时间已经过去1秒钟,即为23秒。这样一直持续下去。知道变为“00”时表示赛程结束。如果比赛中裁判叫停,则只要按下键,即可暂停计时。

数字电子技术课程设计-篮球24秒计时课程设计

数字电子技术课程设计 报告

题目篮球竞赛24S计时器 姓名:李想 专业:光电信息科学与工程 班级:132班 学号:180335244 任课老师:刘念 篮球竞赛24S计时器 刖言 计时器概述 数字电子技术课程设计是数字电子技术学习中非常重要的一个环节,是将理 论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节 本课程设计是脉冲数字电路的简单应用,设计了篮球竞赛30秒计时器。此计时器功能齐全,可以直接清零、启动、暂停和连续以及具有光电报警功能,同时应用了七段数码

管来显示时间。此计时器有了启动、暂停和连续功能,可以方便地实现断点计时功能,当计时器递减到零时,会发出光电报警信号。本设计完成的中途计时功能,实现了在许多的特定场合进行时间追踪的功能,在社会生活中也具有广泛的应用价值。 此计时器的设计采用模块化结构,主要由以下3个组成,即计时模块、控制模块、以及译码显示模块。在设计此计时器时,采用模块化的设计思想,使设计起来更加简单、方便、快捷。此电路是一时钟产生,触发,倒计时计数,译码显示为主要功能,在此结构的基础上,构造主体电路和辅助电路两个部分。 一、设计任务及要求 1设计任务 本设计主要能完成:在篮球比赛中,规定球员的持球时间不能超过24秒,否则就犯规了。本课程设计“篮球24秒计时器”可用于篮球比赛中,用于对球员持球24秒的限制。一旦球员持球时间超过24秒,他就报警。 2设计要求 1、电路具有时间显示功能, 2、要求电路为24秒递减计时,; 3、元器件及参数选择 4、要求外部开关控制清零,暂停和复位,; 5、电路仿真与调试; 3、主要器材:1、七段共阴极数码2个 2、74LS192D 3、74LSO8D 4、74LSOOD 5、蜂鸣器 6、发光二极管 7、脉冲信号源&单刀双掷开关。 (4)编写设计报告

篮球比赛24秒计时器的设计

赣南师院 物理与电子信息学院数字电路课程设计报告书 姓名: 班级:电子信息工程09级 学号: 时间:2011年 6 月15日

论文题目篮球比赛24秒计时器的设计 课程论文要求在篮球比赛中,规定了球员的持球时间不能超过24秒,否则就违例了。本课程设计的“篮球比赛24秒计时器”,可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它自动的报警从而判定此球员的违例。 具体要求如下: 1、设计一个篮球比赛24秒计时器,具备显示24秒计时功能; 2、计时器为递减工作,时间间隔为1S; 3、递减到零时发光报警; 4、设置外部开关,控制计时器的启动、暂停。 设计过程一、设计方案 1、硬件实验:本次试验由秒脉冲发生器、计数器、译码与显示电路、报警 电路和控制电路(辅助时序控制电路)等五个部分组成,本设 计利用555作为振荡电路,74LS161实现十分频,由74LSl92、 74LS48和七段共阴LED数码管构成计时电路,具有计时器 启动、暂停、连续计时和报警功能,绘制好电路图,焊好电路 板。 2、软件实验:在QUARTUSII软件中,运用VHDL语言编写程序,其中 包括计数器,计时器,控制部分以及七段显示码译码器部分, 再利用仿真检测结果,最后到实验室下载记录结果,实现功能。 二、设计思路 在NBA篮球比赛中有一个24秒进攻规则,即从获取球权到投篮击中篮板、篮框、命中或投篮被侵犯,其有效时间合计不能超过24秒,否则被判违例,将失去球权。在此过程中,设置24秒、启动倒计时、暂停倒计时或者中途终止24秒(即球权归对方)均由裁判控制。 1、计数器和控制电路是系统的主要部分,计数器完成24秒计时功能,而 控制电路具有直接控制计数器的启动计数、暂停/连接计数、译码显示 电路的显示和灭灯等功能。 本设计采用555作为振荡电路,由74LSl92、74LS48和七段共阴LED 数码管构成计时电路,具有计时器、启动、暂停、连续计时和报警功能。 该电路制作、调试简单,采用普通器件,一装即成

篮球竞赛24秒计时器设计-

数字电子技术课程设计说明书 篮球竞赛24秒计时器设计 系、部:电气与信息工程学院 学生姓名: 指导教师:职称讲师 专业:电气 班级:电气 完成时间: 2012-6-6

前言

电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。 在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机、还可以用来做为各种药丸,药片,胶囊在指定时间提醒用药等等,由此可见计时器在现代社会是何其重要的。 篮球作为一项全民健身项目,已有一定的历史。在中国,篮球很盛行,篮球比赛也日趋职业化。篮球比赛中有一项违例时间要用倒计时器,目前多数采用的是24秒制。有需要就会有市场,因此设计一款24秒计时器是非常有必要也非常有前景的。 该计时器要有递减计时及报警功能。因此符合比赛中违例判罚的需要。 在NBA比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。本课程设计的“篮球竞赛24秒计时器”,可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它自动的报警从而判定此球员的犯规。 本设计主要能完成:显示24秒倒计时功能;系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;计时器为24秒递减计时其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。 整个电路的设计借助于proteus仿真软件和数字逻辑电路相关理论知识,并在proteus下设计和进行仿真,得到了预期的结果。

目录 第1章电路方案的选择及电路框图 (5) 设计方案 (5) 电路框图 (5) 第2章设计思路及其工作原理的介绍 (6) 设计思路 (6) 基本原理 (6) 第3章单元电路的设计 (8) 24进制计数器的设计 (8) 数码显示电路的设计 (9) 秒脉冲的设计 (11) 控制开关电路的设计 (13) 报警电路的设计 (13) 整机工作原理 (14) 第4章电路仿真 (15) 计时预备阶段 (15) 计时阶段 (16) 暂停\连续功能的实现 (17) 电路报警 (18) 第5章安装及调试步骤 (19) 第6章故障分析与电路改进 (20) 故障的分析和解决 (20) 电路的改进 (20) 结束语 (21) 参考文献 (22)

篮球竞赛24秒计时器数电课程设计

《数字电子技术》课程设计说明书课题名称:篮球竞赛24秒计时器设计 专业:电气工程及其自动化 班级:电气1202班 指导老师:胡新晚 姓名:曾瑞琪

计数器概述 篮球竞赛24秒计时器功能 随着信息时代的到来,电子技术在社会生活中发挥这越来越重要的作用,运用模电和数电知识设计的电子产品成为社会生活中不可缺少的一部分,特别是在各种竞技运动中,定时器成为检验运动员成绩的一个重要工具。在篮球比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。本课程设计的“篮球竞赛24秒计时器”可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它就自动报警从而判定此球员的犯规。 本设计只要完成:显示24秒倒计时功能:系统设置外部操作开关,控制计时器的直接清零、启动、暂停、连续功能;在直接清零时,数码管显示器灭灯,计时器为24秒递减计时其计时间间隔为1秒,计时器递减计时到零时,数码管显示器不灭灯,同时发出光电报警信号等。 设计任务及要求 基本要求 (1)显示24秒计时功能。 (2)设置外部操作开关控制计时器直接清零、启动、暂停/连续功能。 (3)计时器为24秒递减计时器,其计时间隔为1秒。 (4递减计时到零时,显示器不能灭灯,同时发出光电报警信号。 设计任务及目标 (1)根据原理图分析各单元电路的功能; (2)熟悉电路中所用到的各集成块的管脚及其功能;

(3)进行电路的装接、调试、直到电路能达到规定的设计要求; (4)写出完整、详细的课程设计报告 主要参考器件 555 晶体定时器 74 LS00 74LS48译码器 74LS192十进制可编程同步加锁计数器

相关主题
文本预览
相关文档 最新文档