当前位置:文档之家› 实验四编码器,译码器,数码管(定稿)

实验四编码器,译码器,数码管(定稿)

实验四编码器,译码器,数码管(定稿)
实验四编码器,译码器,数码管(定稿)

实验四编码器、译码器、数码管

一、实验目的

1.掌握编码器、译码器和七段数码管的工作原理和特点。

2.熟悉常用编码器、译码器、七段数码管的逻辑功能和他们的典型应用。

3. 熟悉“数字拨码器”(即“拨码开关”)的使用。

二、实验器材

1. 数字实验箱 1台

2. 集成电路:74LS139、 74LS248、 74LS145、 74LS147、 74LS148 各1片

74LS138 2片

3. 电阻: 200Ω 14个

4. 七段显示数码管:LTS—547RF 1个

三、预习要求

1.复习编码器、译码器和七段数码管的工作原理和设计方法。

2. 熟悉实验中所用编码器、译码器、七段数码管集成电路的管脚排列和逻辑功能。

3. 画好实验用逻辑表。

四、实验原理和电路

按照逻辑功能的不同特点,常把数字电路分成两大类:一类叫做组合逻辑电路,另一类叫做时序逻辑电路。组合逻辑电路在任何时刻其输出信号的稳态值,仅决定于该时刻各个输人端信号的取值组合。在这种电路中,输入信号作用以前电路的状态对输出信号无影响。通常,组合逻辑电路由门电路组成。

(一)组合逻辑电路的分析方法:

a.根据逻辑图,逐级写出函数表达式。

b.进行化简:用公式法或图形法进行化简、归纳。必要时,画出真值表分析逻辑功能。

(二)组合逻辑电路的设计方法:

从给定逻辑要求出发,求出逻辑图。一般分以下四步进行。

a.分析要求:将问题分析清楚,理清哪些是输入变量,哪些是输出函数。进行逻辑变量定义(即定义字母A、B、C、D ……所代表的具体事物)。

b. 根据要求的输入、输出关系,列出真值表。

c. 进行化简:变量比较少时,用图形法;变量多时,可用公式法化简。化简后,得出逻辑式。

d. 画逻辑图:按逻辑式画出逻辑图。

进行上述四步工作,设计已基本完成,但还需选择元件——数字集成电路,进行实验论证。

值得注意的是,这些步骤的顺序并不是固定不变的,实际设计时,应根据具体情况和问题难易程度进行取舍。

(三)常用组合逻辑电路:

1.编码器

编码器是一种常用的组合逻辑电路,用于实现编码操作。编码操作就是将具体的事物或状态表示成所需代码的过程。按照所需编码的不同特点和要求,编码器主要分成二类:

普通编码器和优先编码器。

普通编码器:电路结构简单,一般用于产生二进制编码。包括: a .二进制编码器:如用门电路构成的4—2线,8—3线编码器等。 b .二一十进制编码器:将十进制的0~9编成BCD 码,

优先编码器:当有一个以上的输入端同时输入信号时,普通编码器的输出编码会造成混乱。为解决这一问题,需采用优先编码器。如8线—3线集成二进制优先编码器74LS148、10线—4线集成BCD 码优先编码器74LS147等。 2.译码器

译码器也是一种组合逻辑电路。所谓译码,就是把代码的特定含义“翻译”出来的过程。实现译码操作的电路称为译码器。译码器分成两类:

状态译码器:将代码所代表的事物和状态“翻译”出来的译码器。

常用的状态译码器有:集成二进制译码器:2线—4线译码器74LS139,3线—8线译码器74LS138;二—十进制译码器(BCD 码—十进制)74LS145等。

显示译码器:将代码“翻译”成七段数码管的显示码,用来驱动各种数字显示器,如共阴极数码管译码驱动器74LS48和74LS248,共阳数码管译码驱动74LS47和74LS247等。 三、实验容及步骤

(一)译码器实验

1.状态译码实验:

① 将2线-4线译码器74LS139和3线-8译码器74LS138分别插入实验箱的IC 插座中。

② 按图3.1接线,输入G 、B 、A 信号,观察LED 输出 Y 0、Y 1、Y 2、Y 3的状态,并将结果填人表 3.1 中。(G 是使能端)

③ 按图3.2接线,输入G1、G2A 、G2B 、A 、B 、C 信号,观察LED 输出Y 0~Y 7。当使能信号G 1、G 2A 、G 2B 满足表3.2条件时,译码器选通。(G 1、G 2A 、G 2B 是使能端)

3.1 74LS139 2-4线译码器 实验电路

逻逻逻逻K1K2K3

VCC

LED

.

VCC Y0Y1Y2Y3

G B

A

GND 1645

678

231

1/2 74LS139图 3.2 74LS138 3-8线译码器

实验电路

④ 译码器扩展,用一片74LS139双2一4线译码器可接成 3—8线译码器。用两片74LS138 3—8线译码器可组成 4—16线译码器,按图3.3接线,即可完成2—4线、3一8线译码器的扩展。同样的方法,可

完成更多的N →2N 译码器的扩展功能。

⑤ BCD 码—十进制译码器实验

将BCD 码—十进制译码器74LSl45插入实验箱中,按图3.4接线。其中BCD 码用数字实验箱的8421码拨码开关产生(8421拨码开关的工作原理见附录E ),74LS145的译码输出Y 0~Y 9与发光二极管LED 相连。按动拨码开关,观察译码器输出指示灯LED 的显示位置是否和拨码开关所指示的十进制数字一致。(注:拨码开关的输出端A 、B 、C 、D 也可接到数字

Y0Y1

Y2

Y3

Y4

Y5

Y6

Y7

Y8

Y9

Y10Y11Y12Y13Y14Y15

'

箱的输出指示灯上,以便观察拨码开关的输出BCD 码值)。

2.显示译码实验

将显示译码驱动集成电路74LS248(或74LS48)和共阴极数码管插入实验箱空IC 插座中, 按图3.5接线。图3.6为共阴极数码管LTS-547RF 管脚排列图(字面向上)。

接通电源后,观察数码管显示结果是否和拨码开关指示数据一致,记录结果。如不用8421拨码开关,也可用四位逻辑开关代替。

说明:

a. 74LS48和74LS248的引脚和使用方法完全相同,显示字型略有不同。74LS248较好。

b. 7段数码管部由发光二极管(LED )组成字型的笔画。根据LED 的连接方式不同分成共阴型和共阳型两种。共阴型7段数码管中全部LED 的阴(负)极连接在一起;共阳型7段数码管中全部LED 的阳(正)极连接在一起。在使用时要正确选择。

c. 7段数码管的dp 为小数点(decimal point ),不参加译码。G 为公共极,共阳或共阴,两个G 脚部相连。 (二)编码器实验

1.普通编码器实验:根据图3.7所示电路,使用4输入与非门74LS20 组成8—3线普通编码器,其输入接8位逻辑开关,输出A 、B 、C 接输出指示灯LED 。每个输入信号以低电平为有效信号。其输入/输出的逻辑关系为:

.

图3.6 7段数码管接脚图

图3.5 显示译码实验电路

00

.

Y 0 = 7531I I I I ??? Y 1 = 7

632I I I I ???

Y 2 = 7654I I I I ???

h g f e ??? 由上式可列出真值表如表3.3

所示。进行实验验证。

表3.3 编码器的真值表

2.10—4线集成优先编码器实验:

将10—4线(十进制—BCD 码)集成优先编码器74LS147插入实验系统IC 空插座中,按照图3.8接线。其输入接逻辑开关,输出D 、C 、B 、A 接四个输出指示灯LED 。

接通电源,按表3.4要求输入逻辑0—1电平,观察输出结果并填入表3.4中。(见下页)

3.8—3线集成优先编码器实验:将8—3线集成优先编码器74LS148按上述同样方法进行实验论证。其接线如图3.9所示。功能表见表3.5 。(见下页)

图3.7 与非门组成的编码器实验线路图

六、实验报告要求

1. 整理实验线路图和实验数据、表格。

2. 总结集成电路进行电路扩展的方法。

3. 比较用门电路组成组合逻辑电路和应用专用集成电路各有什么优、缺点。

编码器和译码器的应用

编码器、译码器及应用电路设计 一、实验目的: 1、掌握中规模集成编码器、译码器的逻辑功能测试和使用方法; 2、学会编码器、译码器应用电路设计的方法; 3、熟悉译码显示电路的工作原理。 二、实验原理: 1、什么是编码: 教材说:用文字、符号、或者数字表示特定对象的过程称为编码 具体说:编码的逻辑功能是把输入的每个高、低电平信号编成对应的二进制代码 2、编码器74LS147的特点及引脚排列图: 74LS147是优先编码器,当输入端有两个或两个以上为低电平,它将对优先级别相对较高的优先编码。其引脚排列图: 3、什么是译码:译码是编码的逆过程,把给定的代码进行“翻译”,变成相应的状态,使输出通道中相应的一路有信号输出,译码器广泛用于代码转换、终端的数字显示、数据分配、组合控制信号等。 译码器按照功能的不同,一般分为三类:二进制译码器、二—十进制译码器、显示译码器。 (1)变量译码器(用以表示输入变量的状态) 74LS138的特点及其引脚排列图:反码输出。 ABC是地址输入端,Y0—Y7是输出端,G1、G2A’、G2B’为 使能端,只有当G1=G2A’=G2B’=1时,译码器才工作。 (2)码制变换译码器:用于同一个数据的不同代码之间的相互转换,代表是4—10线译码器 译码器74LS42的特点及其引脚排列图: 译码器74LS42的功能是将8421BCD码译成10个对象 其原理与74LS138类同,只不过它有四个输入端, 十个输出端,4位输入代码0000—1111十六种状态组合

其中有1010—1111六个没有与其对应的输出端, 这六组代码叫做伪码,十个输出端均为无效状态。 (3)数码显示与七段译码驱动器:将数字、文字、符号的代码译成数字、文字、符号的电路 a、七段发光二极管数码显示管的特点:(共阴极) b、七段译码驱动器: 4、在本数字电路实验装置上已完成了译码器74LS48和数码管之间的连接图。 三四五脚接高电频,数码管的单独端接低电频。

3 译码器和编码器的仿真实验报告

实验三译码器与编码器的设计与仿真 一、实验内容 1.参照芯片74LS138的电路结构,用VHDL语言设计3-8译码器; 2.参照芯片74LS148的电路结构,用VHDL语言设计8-3优先编码器。二、电路功能介绍 1.74148:8-3优先编码器(8 to 3 Priority Encoder) 用途:将各种输入信号转换成一组二进制代码,使得计算机可以识别这一信号的作用。键盘里就有大家天天打交道的编码器,当你敲击按键时,被敲击的按键被键盘里的编码器编码成计算机能够识别的ASCII码。译码器与编码器的功能正好相反。 2.74138:3-8译码器(3 to 8 Demultiplexer),也叫3-8解码器 用途:用一组二进制代码来产生各种独立的输出信号,这种输出信号可以用来执行不同的工作。显示器中的像素点受到译码器的输出控制。 逻辑框图:用逻辑符号(Symbol)来解释该电路输入与输出信号之间的逻辑关系,既省事又直观。如下图所示。 一、编码器 1.VHDL实现 library IEEE; use IEEE.std_logic_1164.all; entity pencoder is port ( i7,i6,i5,i4,i3,i2,i1,i0:in STD_LOGIC; a2,a1,a0,idle:out STD_LOGIC); 解 码 信 号 输 出 端低 电 平 有 效 代 码 输入 端 使能输入端

end pencoder; architecture pencoder_arch of pencoder is signal h:STD_LOGIC_VECTOR(7 downto 0); begin h(7)<=i7; h(6)<=i6 and not i7; h(5)<=i5 and not i6 and not i7; h(4)<=i4 and not i5 and not i6 and not i7; h(3)<=i3 and not i4 and not i5 and not i6 and not i7; h(2)<=i2 and not i3 and not i4 and not i5 and not i6 and not i7; h(1)<=i1 and not i2 and not i3 and not i4 and not i5 and not i6 and not i7; h(0)<=i0 and not i1 and not i2 and not i3 and not i4 and not i5 and not i6 and not i7; idle<=not i0 and not i1 and not i2 and not i3 and not i4 and not i5 and not i6 and not i7; a0<=h(1) or h(3) or h(5) or h(7); a1<=h(2) or h(3) or h(6) or h(7); a2<=h(4) or h(5) or h(6) or h(7); 2.波形图:

实验4 译码器及其应用

实验五译码器及其应用 一、实验目的 1、掌握中规模集成译码器的逻辑功能和使用方法 2、熟悉数码管的使用 二、实验设备与器件 1、+5V直流电源 2、逻辑电平开关 3、逻辑电平显示器 4、拨码开关组 5、译码显示器 6、 74LS138×2 CC4511 三、实验内容 1、74LS138译码器逻辑功能测试 将译码器使能端S1、2S、3S及地址端A2、A1、A0分别接至逻辑电平开关输出口,八个Y???依次连接在逻辑电平显示器的八个输入口上,拨动逻辑电平开关,按表6-输出端0 7Y 1逐项测试74LS138的逻辑功能。 图6-1(a)、(b)分别为其逻辑图及引脚排列。 其中 A2、A1、A0为地址输入端,0Y~7Y为译码输出端,S1、2S、3S为使能端。 当S1=1,2S+3S=0时,器件正常工作,地址码所指定的输出端有信号(为0)输出,其它所有输出端均无信号(全为1)输出。当S1=0,2S+3S=X时,或 S1=X,2S+3S=1时,译码器被禁止,所有输出同时为1。 图6-1 3-8线译码器 74LS138逻辑图及引脚排列 表6-1

2、二进制译码器还能方便地实现逻辑函数,如图6-3所示,实现的逻辑函数是 Z = C B A C B A C B A +++ABC 图6-2 作数据分配器 图6-3 实现逻辑函数

3、码显示译码器及译码显示电路 数据拨码开关的使用。 将实验装置上的四组拨码开关的输出A i、B i、C i、D i分别接至4组显示译码/驱动器CC4511的对应输入口,LE、BI、LT接至三个逻辑开关的输出插口,接上+5V显示器的电源,然后按功能表6-2输入的要求揿动四个数码的增减键(“+”与“-”键)和操作与LE、BI、LT对应的三个逻辑开关,观测拨码盘上的四位数与LED数码管显示的对应数字是否一致,及译码显示是否正常。 a、七段发光二极管(LED)数码管 LED数码管是目前最常用的数字显示器,图6-5(a)、(b)为共阴管和共阳管的电路,(c)为两种不同出线形式的引出脚功能图。 符号及引脚功能 b、BCD码七段译码驱动器 此类译码器型号有74LS47(共阳),74LS48(共阴),CC4511(共阴)等,本实验系采用CC4511 BCD码锁存/七段译码/驱动器。驱动共阴极LED数码管。 图6-6为CC4511引脚排列 其中图6-6 CC4511引脚排列 A、B、C、D—BCD码输入端 a、b、c、d、e、f、g—译码输出端,输出“1”有效,用来驱动共阴极LED数码管。 LT—测试输入端,LT=“0”时,译码输出全为“1”

译码器、编码器及其应用实验报告

实验四 译码器、编码器及其应用 实验人员: 班号: 学号: 一、实验目的 (1) 掌握中规模集成译码器的逻辑功能和使用方法; (2) 熟悉掌握集成译码器和编码器的应用; (3) 掌握集成译码器的扩展方法。 二、实验设备 数字电路实验箱,74LS20,74LS138。 三、实验容 (1) 74LS138译码器逻辑功能的测试。将74LS138输出Y 0????~Y 7????接数字实验箱LED 管,地址Y 2Y 1Y 0输入接实验箱开关,使能端接固定电平(Y YY 或GND )。电路图如Figure 1所示: Figure 1 E Y 1YY 2Y ?????????? YY 2Y ??????????≠100时,任意拨动开关,观察LED 显示状态,记录观察结果。 E Y 1YY 2Y ?????????? YY 2Y ??????????=100时,按二进制顺序拨动开关,观察LED 显示状态,并与功能表对照,记录观察结果。 用Multisim 进行仿真,电路如Figure 2所示。将结果与上面实验结果对照。

Figure 2 (2) 利用3-8译码器74LS138和与非门74LS20实现函数: Y =Y ???Y ???+Y ???Y ???+YYY 四输入与非门74LS20的管脚图如下: 对函数表达式进行化简: Y =Y ???Y ???+Y ???Y ???+YYY =Y ???Y ???Y ???+Y ???Y ???Y +A Y ???Y ???+YYY =Y 0+Y 1+Y 4+Y 7=Y 0????Y 1????Y 4????Y 7????????????????????? 按Figure 3所示的电路连接。并用Multisim 进行仿真,将结果对比。 Figure 3

实验二--译码器及其应用

计算机科学与工程学院 数字电路实验报告 专业__软件工程_班级20111431 姓名_王金华学号50 / 实验二译码器及其应用\ 一、实验目的 1. 掌握3 -8 线译码器、4 -10线译码器的逻辑功能和使用方法。 2. 掌握用两片3 -8 线译码器连成4 -16 线译码器的方法。 3. 掌握使用74LS138实现逻辑函数和做数据分配器的方法。 二、实验仪器和器材 1、数字逻辑电路实验箱。 2、数字逻辑电路实验箱扩展板。 3、数字万用表、双踪示波器。 4、芯片74LS138 (两片)、74LS42、74LS20 各一片。 三、实验原理 译码是编码的逆过程,它的功能是将具有特定含义的二进制码进行辨别,并转换成控制信号,具有译码功能的逻辑电路称为译码器。译码器在数字系统中有广泛的应用,不仅用于代码的转换、终端的数字显示,还用于数据分配,存贮器寻址和组合控制信号等不同的功能可选用不同种类的译码器。下图表示二进制译码器的一般原理图: X0 X1 译码器X n-1Y0 Y1 丫2人 n-1

1 ---------------------------------

S4-3 FLS42的弓:脚排死图 BCD 輪入 输出 A : Ai A L 魚 Y J Yj Yi Y, Yi ¥? Yi V Q L L L L L H H H n 11 H H H 11 L I L L 11 H J [ }1 H In 11 I H IT H H L L L H L El II L n H 11 H H 11 11 ll r L H 11 H 1 H }] L [TT 11 r H H H L LI L L tl 1J ]J n L IJ H 11 11 11 L II L 11 H II H H TI L H 11 II II L ri H H H H H H 11 L H H II L n II 】] H II 11 I[ TI ]] H L II El H L L L H H H H H 11 H H L H ]J L L 】【 【【 11 11 L[ II ]J 11 ]J ]I L 它具有n 个输入端,2n 个输出端和一个使能输入端。在使能输入端为有效电平时, 对应每一组输入代码,只有其中一个输出端为有效电平,其余输出端则为非有效电平。 每一个输出所代表的函数对应于 n 个输入变量的最小项。二进制译码器实际上也是负 脉冲输出的脉冲分配器,若利用使能端中的一个输入端输入数据信息,器件就成为一个 数据分配器(又称为多路数据分配器)。 1、3-8线译码器74LS138 它有三个地址输入端A 、 B 、C ,它们共有8种状态的组合,即可译出8个输出信号 Y0~Y7。另外它还有三个使能输入端 E1、E2、E3。它的引脚排列见图4-2,功能表见 表 4-1 o 2、4-10线译码器 74LS42 它的引脚排列见图4-3,功能表见表4-2 输入 输出 Ej Ei E 2 C 0 A 竹 Y, Y 3 Y 4 Y? Y T X H X X X X H I H H I H H H H I H X H ~y~ X X H H H H H H H H L X X X X X U H II :H U 】1 H ] 11 H L L L L L L H H H u H H H H 1 L L L L EL 1 H 1 L |{ H H H H H H L L L H L H H L H H H H H H L L L ll H 1 H II L U 11 n ]J H L L H L L H H H H L H H H H L L H L H H H H H H L H H H 1 L H H L H H H H H H L H H L L 11 H H H H 11 H U 11 n L ABC 12 3 E E E 01234567 图4-2 74LS138的引脚排列图 ^4-1 74LSB8?o -1 -2 -3 -4 -5 -6 -7 -8 -9

译码器和编码器实验

实验三译码器和编码器 一实验目的 1.掌握译码器、编码器的工作原理和特点。 2.熟悉常用译码器、编码器的逻辑功能和它们的典型应用。 二、实验原理和电路 按照逻辑功能的不同特点,常把数字电路分两大类:一类叫做组合逻辑电路,另一类称为时序逻辑电路。组合逻辑电路在任何时刻其输出的稳态值,仅决定于该时刻各个输入信号取值组合的电路。在这种电路中,输入信号作用以前电路所处的状态对输出信号无影响。通常,组合逻辑电路由门电路组成。 组合逻辑电路的分析方法:根据逻辑图进行二步工作: a.根据逻辑图,逐级写出函数表达式。 b.进行化简:用公式法、图形法或真值表进行化简、归纳。 组合逻辑电路的设计方法:就是从给定逻辑要求出发,求出逻辑图。一般分四步进行。 a.分析要求;将问题分析清楚,理清哪些是输入变量,哪些是输出函数。 b.列真值表。 c.进行化简:变量比较少时,用图形法。变量多时,可用公式化简。 d.画逻辑图:按函数要求画逻辑图。 进行前四步工作,设计已基本完成,但还需选择元件——集成电路,进行实验论证。 值得注意的是,这些步骤并不是固定不变的程序,实际设计时,应根据具体情况和问题难易程度进行取舍。 1.译码器 译码器是组合电路的一部分,所谓译码,就是把代码的特定含义“翻译”出来的过程,而实现译码操作的电路称为译码器。译码器分成三类: a.二进制译码器:如中规模2—4线译码器74LS139。,3—8线译码器74LS138等。 b.二—十进制译码器:实现各种代码之间的转换,如BCD码—十进制译码器74LS145等。 c.显示译码器:用来驱动各种数字显示器,如共阴数码管译码驱动74LS48,(74LS248),共阳数码管译码驱动74LS47(74LS247)等。 2.编码器 编码器也是组合电路的一部分。编码器就是实现编码操作的电路,编码实际上是译码相反的过程。按照被编码信号的不同特点和要求,编码器也分成三类: a.二进制编码器:如用门电路构成的4—2线,8—3线编码器等。 b.二—十进制编码器:将十进制的0~9编成BCD码,如:10线十进制—4线BCD码编码器74LS147等。 c.优先编码器:如8—3线优先编码器74LS148等。 三、实验内容及步骤 1.译码器实验 (1)将二进制2-4线译码器74LS139,及二进制3-8译码器74LS138分别插入实验系统IC 空插座中。 按图1.3.1接线,输入G、A、B信号(开关开为“1”、关为“0”),观察LED输出Yo、Y1、Y2、Y3的状态(亮为“1”,灭为“0”),并将结果填入表1.3.1中。

编码器和译码器实验报告

译码器、编码器及其应用 一、实验目的 (1) 掌握中规模集成译码器的逻辑功能和使用方法; (2) 熟悉掌握集成译码器和编码器的应用; (3) 掌握集成译码器的扩展方法。 二、实验设备 数字电路实验箱,74LS20,74LS138。 三、实验内容 (1) 74LS138译码器逻辑功能的测试。将74LS138输出??接数字实验箱LED 管,地址输入接实验箱开关,使能端接固定电平(或GND)。电路图如Figure 1所示: Figure 2 ??????????????时,任意拨动开关,观察LED显示状态,记录观察结果。 ??????????????时,按二进制顺序拨动开关,观察LED显示状态,并与功能表对照,记录观察结果。 用Multisim进行仿真,电路如Figure 3所示。将结果与上面实验结果对照。

Figure 4 (2) 利用3-8译码器74LS138和与非门74LS20实现函数: ?? 四输入与非门74LS20的管脚图如下: 对函数表达式进行化简: ?? ?? A ? ??????????? ???? 按Figure 5所示的电路连接。并用Multisim进行仿真,将结果对比。 Figure 6

(3) 用两片74LS138组成4-16线译码器。 因为要用两片3-8实现4-16译码器,输出端子数目刚好够用。 而输入端只有 A、、三个,故要另用使能端进行片选使两片138译码器 进行分时工作。而实验台上的小灯泡不够用,故只用一个灯泡,而用连接灯泡的导线测试?,在各端子上移动即可。在multisim中仿真电路连接如Figure 7所示(实验台上的电路没有接下面的两个8灯LED): Figure 8 四、实验结果 (1) 74LS138译码器逻辑功能的测试。 当输入 A时,应该是输出低电平,故应该第一个小灯亮。实际用实验台测试时,LE0灯显示如Figure 9所示。当输入 A时,应该是输出低电平,故理论上应该第二个小灯亮。实际用实验台测试时,LE0灯显示如Figure 6所示。 Figure 10

实验2 译码器及其应用

实验2 译码器及其应用 一实验目的 1、掌握中规模集成译码器的逻辑功能和使用方法。 2、熟悉数码管使用。 二实验原理 译码器是一个多输入、多输出的组合逻辑电路。他的作用是把给定的代码进行“翻译”,变成相应的状态,使输出通道中相应的一路有信号输出。 1、3线—8线译码器74LS138 图5-6-1 表5-6-1 二进制译码器实际上也是负脉冲输出的脉冲分配器。若利用使能端中的一个输入端输入数据信息,器件就成为一个数据分配器(又称多路分配器),如图5-6-2所示。

图 利用使能端方便地将两个3---8译码器组合成一个4---16译码器,如图5-6-4所示。 图5-6-4

2数码显示译码器 A、七段发光二极管(LED)数码管 图5-6-5 B、BCD码七段译码驱动器 本实验采用CC4511 BCD码锁存/七段译码/驱动器。驱动共阴级LED数码管。 如图5-6-6所示。Array A0、A1、A2、A3----BCD 码输入端; Ya\Yb\Yc\Yd\Ye\Yf\Yg--- -译码输出端,输出“1” 有效; LT·---测试输入端; BI·---消隐输入端; LE---锁定端。 表5-6-2为CC4511功能表。译码器还有拒伪码功能,当输入码超过1001时,输 出全为“0”,数码管熄灭。

下图是CC4511和LED数码管连接图: 三实验设备 1、+5V直流电源 2、连续脉冲源 3、逻辑电平开关 4、逻辑电平显示器 5、拨码开关组 6、译码显示器 7、74LS138*2 CC4511 四实验内容 1、数码拨码开关的使用。 2、74LS138译码器逻辑功能测试。 3、用74LS138构成时序脉冲分配器 4、用两片74LS138组合成一个4线—16线译码器,并进行实验。

译码器实验报告

译码器实验报告 实验三译码器及其应用 一、实验目的 1、掌握译码器的测试方法。 2、了解中规模集成译码器的功能,管脚分布,掌握其逻辑功能。 3、掌握用译码器构成 组合电路的方法。4、学习译码器的扩展。 二、实验仪器 1、数字逻辑电路实验板1块 2、74hc138 3-8线译码器2片 3、74hc20 双4输入与非 门1片 三、实验原理 1、中规模集成译码器74hc138 74hc138是集成3线-8线译码器,

在数字系统中应用比较广泛。图3-1是其引脚排列。其中a2 、a1 、a0 为地址输入端,0y~7y为译码输出端,s1、2s 、3s 为使能端。74hc138真值表如下:74hc138引脚图为:74hc138工作原理为:当s1=1,s2+s3=0时,电路完成译码功能,输出低电平有效。其 中: 2、译码器应用 因为74hc138 三-八线译码器的输出包括了三变量数字信号的全部八种组合,每一个输出端表示一个最小项,因此可以利用八条输出线组合构成三变量的任意组合电路。 四、实验内容 1、译码器74hc138 逻辑功能测试(1)控制端功能测试测试电路如图:按上表所示条件输入开关状态。观察并记录译码器输出状态。led指示灯亮为0,灯不 亮为1。

(2)逻辑功能测试 将译码器使能端s1、2s 、3s 及地址端a2、a1、a0 分别接至逻辑电平开关输出口,八个输出端y7 ?????y0依次连接在逻辑电平显示器的八个输入口上,拨动逻辑电平开关,按 下表逐项测试74hc138的逻辑功能。 2、用74hc138实现逻辑函数y=ab+bc+ca 如果设a2=a,a1=b,a0=c,则函数y 的逻辑图如上所示。用74hc138和74hc20各一块 在实验箱上连接下图线路。并将测试结果下面的记录表中。 3、用两个3线-8线译码器构成4线-16线译码器。利用使能端能方便地将两个3/8译码器组合成一个4/16译码器,如下图所示。 五、实验结果记录:2、74hc138实现逻辑函数y=ab+bc+ca,实验结果记录: 六、实验注意事项

实验四 编码器和译码器-试验报告

实验报告 ----- 李瑞辉 一、实验目的 1. 学会用逻辑图和VHDL 语言设计3-8 译码器; 2. 学会用逻辑图和VHDL 语言设计8-3 编码器; 二、实验原理 1.74148:8-3优先编码器(8 to 3 Priority Encoder) (1)用途:将各种输入信号转换成一组二进制代码,使得计算机可以识别这一信号的作用。 (2)逻辑表达式 ①使能输出端O E的逻辑方程为: EO =I0· I1· I2· I3· I4· I5· 67· EI ②扩展片优先编码输出端G S的逻辑方程为: GS = (I0+I1+I2+I3+I4+I5+I6+I7)· EI ③由74148真值表可列输出逻辑方程为: A2 =(I4+I5+I6+I7)EI A1 = (I2I4I5+I3I4I5+I6+7)· EI A0 = (I1I2I4I6+I3I4I6+I5I6+I7)· EI (3)真值表 INPUTS OUTPUTS EN0N 1N 2N 3N 4N 5N 6N 7N A2 A1 A0 EO GS 1×××××××× 1 1 1 1 1

0××××××× 00 0 00 1 0×××××× 0 10 0 10 1 0××××× 0 1 10 1 00 1 0×××× 0 1 1 10 1 10 1 0××× 0 1 1 1 1 1 0 00 1 0×× 0 1 1 1 1 1 1 0 10 1 0× 0 1 1 1 1 1 1 1 1 00 1 00 1 1 1 1 1 1 1 1 1 10 1 0 1 1 1 1 1 1 1 1 1 1 1 1 0 2. 74138:3-8译码器(3 to 8 Demultiplexer),也叫3-8解码器 (1)用途:与编码器相反。用一组二进制代码来产生各种独立的输出信号,这种输出信号可以用来执行不同的工作。 (2)逻辑表达式 (m i 是最小项) (3)真值表 INPUT OUTPUT

实验二 译码器及其应用

实验二译码器及其应用 一、实验目的 1、掌握3 -8线译码器、4 -10线译码器的逻辑功能和使用方法。 2、掌握用两片3 -8线译码器连成4 -16线译码器的方法。 3、掌握使用74LS138实现逻辑函数和做数据分配器的方法。 二、实验原理 译码是编码的逆过程,它的功能是将具有特定含义的二进制码进行辨别,并转换成控制信号,具有译码功能的逻辑电路称为译码器。译码器在数字系统中有广泛的应用,不仅用于代码的转换、终端的数字显示,还用于数据分配,存贮器寻址和组合控制信号等。不同的功能可选用不同种类的译码器。 下图表示二进制译码器的一般原理图: 它具有n个输入端,2n个输出端和一个使能输入端。在使能输入端为有效电平时,对应每一组输入代码,只有其中一个输出端为有效电平,其余输出端则为非有效电平。每一个输出所代表的函数对应于n个输入变量的最小项。二进制译码器实际上也是负脉冲输出的脉冲分配器,若利用使能端中的一个输入端输入数据信息,器件就成为一个数据分配器(又称为多路数据分配器)。 1、3-8线译码器74LS138 它有三个地址输入端A、B、C,它们共有8种状态的组合,即可译出8个输出信号Y0~Y7。它还有三个使能输入端E1、E2、E3。功能表见表1,引脚排列见图2。 表1 74LS138的功能表

三、实验设备与器材 1、数字逻辑电路实验箱 2、数字万用表 3、双踪示波器 3、芯片74LS138两片,74LS42、74LS20各一片 四、实验内容及实验步骤 1、74LS138译码器逻辑功能测试 在数字逻辑电路实验箱IC插座模块中找一个DIP16的插座插上芯片74LS138,并在DIP16插座的第8脚接上实验箱的地(GND),第16脚接上电源+5V(VCC)。将74LS138的输出端Y0~Y7分别接到8个发光二极管上(逻辑电平显示单元),输入端接拨位开关输出(逻辑电平输出单元),逐次拨动开关,根据发光二极管显示的变化,测试74LS138的逻辑功能。 2、两片74LS138组合成4线-16线译码器 按下图连线: 将16个输出端接逻辑电平显示(发光二极管),4个输入端接逻辑电平输出(拨位开关),逐项测试电路的逻辑功能。 3、用74LS138实现逻辑函数和做数据分配器 (1)实现逻辑函数

编码器、译码器及应用电路设计

实验六编码器、译码器及应用电路设计 一、实验目的: 1、掌握中规模集成编码器、译码器的逻辑功能测试和使用方法; 1、学会编码器、译码器应用电路设计的方法; 3、熟悉译码显示电路的工作原理。 二、实验原理: 编码是用文字、符号或者数字表示特定对象的过程,在数字电路中是用二进制数进行编码的,相应的二进制数叫二进制代码。编码器就是实现编码操作的电路。本实验使用的是优先编码器74LS147,当输入端有两个或两个以上为低电平时,将对输入信号级别相对高的优先编码,其引脚排列如图6—1所示。 图6—1 74LS147引脚排列图图6—2 74LS138引脚排列图译码是编码的逆过程,是把给定的代码进行“翻译”,变成相应的状态,使输出通道中相应的一路有信号输出。译码器在数字系统有广泛的用途,不仅用于代码的转换、终端的数字显示,还用于数据分配和组合控制信号等。不同的功能可选用不同种类的译码器。 译码器按照功能的不同,一般分为三类: 1、变量译码器(二进制译码器):用以表示输入变量的状态,如2—4线、3—8线、4—16线译码器。以3—8线译码器74LS138为例介绍: 图6—2为74LS138的引脚图,其中,A2A1A0为地址输入端,为译码器输出端,为使能端(只有当时,才能进行译码)。 图6—3 74LS42引脚排列图图6—5为CC4511引脚排列图 2、码制变换译码器:用于同一个数据的不同代码之间的相互变换。这种译码器的代表是4—10线译码器,它的功能是将8421BCD码译为十个对象,如74LS42等。它的原理与 74LS138译码器类同,只不过它有四个输入端,十个输出端。4位输入代码共有0000—1111

实验2 译码器及其应用复习课程

实验2译码器及其应 用

实验2 译码器及其应用 10数计计科2班 丁琴(41)林晶(39) 2011 .11.2 一、实验目的 1、掌握中规模集成译码器的逻辑功能和使用方法 2、熟悉数码管的使用 二、实验原理 译码器是一个多输入、多输出的组合逻辑电路。它的作用是把给定的代码进行“翻译”,变成相应的状态,使输出通道中相应的一路有信号输出。译码器在数字系统中有广泛的用途,不仅用于代码的转换、终端的数字显示,还用于数据分配,存贮器寻址和组合控制信号等。不同的功能可选用不同种类的译码器。 译码器可分为通用译码器和显示译码器两大类。前者又分为变量译码器和代码变换译码器。 1、变量译码器(又称二进制译码器),用以表示输入变量的状态,如2线-4线、3线-8线和4线-16线译码器。若有n个输入变量,则有2n个不同的组合状态,就有2n 个输出端供其使用。而每一个输出所代表的函数对应于n个

输入变量的最小项。以3线-8线译码器74LS138为例进行分析,图5-6-1(a)、(b)分别为其 逻辑图及引脚排列,其中 A2 、A1 、A0 为地址输入端,0Y~7Y为译码输出端,S1、2S、3S为使能端。其工作原理为: Yi=S1 S2 S3 mi (1)当S2=S3=0,S1=data时 若m0=1,A2=A1=A0=0时则Y0 =S1= data 改变A2、A1、A0使得data出现在不同的输出端 (2)当S1=1, S2=0,S3=data时 若m0=1,则Y0=data; 改变A2A1A0使得data出现在不同的输出端 对照表5-6-1就可判断其功能是否正常。 图5-6-1 3-8线译码器74LS138逻辑图及引脚排列 表5-6-1

编码器和译码器的设计

目录 1设计目的与要求 (1) 1.1 设计的目的 (1) 1.2 设计要求 (1) 2 VHDL的简单介绍 (2) 2.1 VHDL的简介 (2) 2.2 VHDL的特点 (2) 2.3 VHDL的优势 (3) 2.4 VHDL的设计步骤 (4) 3 EDA的简单介绍 (5) 3.1 EDA的简介 (5) 3.2 EDA设计方法与技巧 (5) 4 设计过程 (7) 4.1编码器的原理 (7) 4.2译码器的原理 (7) 4.3课程设计中各部分的设计 (7) 5 仿真 (10) 5.1八-三优先编码器仿真及分析 (10) 5.2三-八译码器仿真及分析 (11) 5.3二-四译码器仿真及分析 (14) 心得体会 (13) 参考文献 (16) 附录 (17)

摘要 随着社会的发展,科学技术也在不断的进步。计算机从先前的采用半导体技术实现的计算器到现在广泛应用的采用高集成度芯片实现的多功能计算器。计算机电路是计算机的重要组成部分,了解计算机电路的知识是促进计算机的发展的先决条件。而编码器和译码器是计算机电路中的基本器件,对它们的了解可以为以后的进一步深化研究打下一个良好的基础。本设计主要介绍的是一个基于超高速硬件描述语言VHDL对计算机电路中编码器和译码器进行编程实现。 关键字:计算机编码器译码器

编码器和译码器的设计 1 设计目的与要求 随着社会的进一步发展,我们的生活各个地方都需要计算机的参与,有了计算机,我们的生活有了很大的便利,很多事情都不需要我们人为的参与了,只需要通过计算机就可以实现自动控制。由此,计算机对我们的社会对我们每个人都是很重要的。所以我们要了解计算机得组成,内部各种硬件,只有了解了计算机基本器件已经相应的软件,才能促进社会的发展。编码器和译码器的设计是计算机的一些很基础的知识,通过本次对于编码器和译码器的设计,可以让我知道究竟这种设计是如何实现的,这种设计对我们的生活有什么帮助,这种设计可以用到我们生活的哪些方面,对我们的各种生活有什么重大的意义。 1.1 设计的目的 本次设计的目的是通过简单的编码器和译码器的设计掌握基本的计算机的一些有关的知识,通过查资料已经自己的动手设计去掌握EDA技术的基本原理已经设计方法,并掌握VHDL硬件描述语言的设计方法和思想。以计算机组成原理为指导,通过将理论知识,各种原理方法与实际结合起来,切实的亲手设计,才能掌握这些非常有用的知识。通过对编码器和译码器的设计,巩固和综合运用所学知识,提高IC设计能力,提高分析、解决计算机技术实际问题的独立工作能力。也能通过这种自主设计,增强自己的动手能力,将理论知识切实应用的能力,这对我们将来的发展是很有帮助的。 1.2 设计要求 根据计算机组成原理中组合逻辑电路设计的原理,利用VHDL设计计算机电路中编码器和译码器的各个模块,并使用EDA 工具对各模块进行仿真验证和分析。编码器由八-三优先编码器作为实例代表,而译码器则包含三-八译码器和二-四译码器两个实例

实验二编码器和译码器的应用

实验二编码器和译码器的应用 一.实验目的: 1.学会正确使用中规模集成组合逻辑电路。掌握编码器、译码器、BCD七段 译码器、数码显示器的工作原理和使用方法。 2.掌握译码器及其应用, 学会测试其逻辑功能。 二.实验仪器及器件: 1. TPE—D6Ⅲ型数字电路实验箱 1台 2.数字万用表 1块 3.器件:74LS20 二4输入与非门 1片 74LS04 六反相器 1片 74LS147 10线—4线优先编码器 1片 74LS138 3线—8线译码器 1片 74LS139 双2线—4线译码器 1片 74LS47 七段显示译码器 1片 三.实验预习: 1.复习编码器、译码器、BCD七段译码器、数码显示器的工作原理。 2.熟悉编码器74LS147及译码器74LS138、74LS139各引脚功能和使用方法, 列出74LS138、74LS139的真值表,画出所要求的具体实验线路图。四.实验原理: 在数字系统中,常常需要将某一信息变换为特定的代码,有时又需要在一定的条件下将代码翻译出来作为控制信号,这分别由编码器和译码器来实现。 1.编码:用一定位数的二进制数来表示十进制数码、字母、符号等信息的过 程。编码器:实现编码功能的电路。 编码器功能:从m个输入中选中一个,编成一组n位二进制代码并行输出。 编码器特点:(1)多输入、多输出组合逻辑电路。 (2)在任何时候m个输入中只有一个输入端有效(高电平或 低电平)对应有一组二进制代码输出。 编码器分类:二进制、二─十进制、优先编码器。2.译码:是编码的反过程,是将给定的二进制代码翻译成编码时赋予的原意。 译码器:实现译码功能的电路。译码器特点:(1)多输入、多输出组合逻辑电路。 (2)输入是以n位二进制代码形式出现,输出是与之对应的 电位信息。

实验三译码器及其应用、数据选择器及其应用

实验三译码器及其应用、数据选择器及其应用 一、实验目的 1 ?掌握采用中规模集成器件进行组合逻辑电路设计、电路连接及测试的方法. 2 ?用实验验证所设计电路的逻辑功能. 二、实验设备与器件 1.电子学实验装置 2.集成块74LS20、74LS00、74LS138、74LS151、74LS153。 三、实验原理 中规模集成器件多数是专用的功能器件,具有某种特定的逻辑功能,采用这些功能器件实现组合逻辑函数,基本 方法是采用逻辑函数对比法. 中规模集成器件多数都带有控制端(片选端),例如译码器74LS138有三个附加控制端S B、S C和S A,当S A=1、 S B= S C =0时,译码器才被选通工作,否则,译码器被禁止,所有的输出端被封锁在高电平?利用片选可将多片连接 起来以扩展译码器的功能. 在一般情况下,使用译码器和附加的门电路实现多输出逻辑函数较方便,使用数据选择器实现单输出逻辑函数较方便,当逻辑函数输出为输入变量相加时,则采用全加器实现较为方便. 1 ?译码器 一个n变量的译码器的输出包含了n变量的所有最小项.例如3线/8线译码器(74LS138)的8个输出包含了3个变 量的全部最小项的译码?参见模拟电子技术基础教材中3线/8线译码器功能表. 用n变量译码器加上输出与非门电路,就能获得任何形式的输入变量不大于n的组合逻辑电路. 2 ?数据选择器 一个n个地址端的数据选择器, 具有2n个数据选择的功能.例如,数据选择器74LS151, n=3,可完成八选一的功能?参见附录中八选一数据选择器(74LS151)的真值表.由真值表可写出: 丫A2AA0D0 A2AA0D1A 2 Al A o D 2 A? A1A0D 3 A2A A0D 4 A2A A0D 5 A2 A A) A2AA0D7 数据选择器又称多路开关,其功能是把多路并行传输数据选通一路送到输出线上. 四、实验内容 1 ?三输入变量译码器功能测试 地址输入端AA1A0是一组三位二进制代码,其中A权最高,A o权最低,按实验电路图3-1接线,将实验结果填入

实验2 译码器及其应用

实验2 译码器及其应用 10数计计科2班 丁琴(41)林晶(39) 一、实验目的 1、掌握中规模集成译码器的逻辑功能和使用方法 2、熟悉数码管的使用 二、实验原理 译码器是一个多输入、多输出的组合逻辑电路。它的作用是把给定的代码进行“翻译”,变成相应的状态,使输出通道中相应的一路有信号输出。译码器在数字系统中有广泛的用途,不仅用于代码的转换、终端的数字显示,还用于数据分配,存贮器寻址和组合控制信号等。不同的功能可选用不同种类的译码器。 译码器可分为通用译码器和显示译码器两大类。前者又分为变量译码器和代码变换译码器。 1、变量译码器(又称二进制译码器),用以表示输入变量的状态,如2线-4线、3线-8线和4线-16线译码器。若有n个输入变量,则有2n个不同的组合状态,就有2n 个输出端供其使用。而每一个输出所代表的函数对应于n个输入变量的最小项。以3线-8线译码器74LS138为例进行分析,图5-6-1(a)、(b)分别为其 逻辑图及引脚排列,其中A2 、A1 、A0 为地址输入端,0Y~7Y为译码输出端,S1、2S、 S为使能端。其工作原理为: 3 Yi=S1 S2 S3 mi (1)当S2=S3=0,S1=data时 若m0=1,A2=A1=A0=0时则Y0 =S1= data 改变A2、A1、A0使得data出现在不同的输出端 (2)当S1=1, S2=0,S3=data时 若m0=1,则Y0=data; 改变A2A1A0使得data出现在不同的输出端 对照表5-6-1就可判断其功能是否正常。

(a) (b) 图5-6-1 3-8线译码器74LS138逻辑图及引脚排列 二进制译码器实际上也是负脉冲输出的脉冲分配器。若利用使能端中的一个输入端输入数据信息,器件就成为一个数据分配器(又称多路分配器),如图5-6-2所示。若在S1输入端输入数据信息,2S=3S=0,地址码所对应的输出是S1数据信息的反码;若从2S端输入数据信息,令S1=1、3S=0,地址码所对应的输出就是2S端数据信息的原码。若数据信息是时钟脉冲,则数据分配器便成为时钟脉冲分配器。 根据输入地址的不同组合译出唯一地址,故可用作地址译码器。接成多路分配器,可将一个信号源的数据信息传输到不同的地点。 二进制译码器还能方便地实现逻辑函数,如图5-6-3所示,实现的逻辑函数是

实验三译码器及其应用、数据选择器及其应用

实验三 译码器及其应用、数据选择器及其应用 一、实验目的 1.掌握采用中规模集成器件进行组合逻辑电路设计、电路连接及测试的方法. 2.用实验验证所设计电路的逻辑功能. 二、实验设备与器件 1.电子学实验装置 2.集成块74LS20、74LS00、74LS138、74LS151、74LS153。 三、实验原理 中规模集成器件多数是专用的功能器件,具有某种特定的逻辑功能,采用这些功能器件实现组合逻辑函数,基本方法是采用逻辑函数对比法. 中规模集成器件多数都带有控制端(片选端),例如译码器74LS138有三个附加控制端B S 、C S 和A S ,当A S =1、 B S = C S =0时,译码器才被选通工作,否则,译码器被禁止,所有的输出端被封锁在高电平.利用片选可将多片连接 起来以扩展译码器的功能. 在一般情况下,使用译码器和附加的门电路实现多输出逻辑函数较方便,使用数据选择器实现单输出逻辑函数较方便,当逻辑函数输出为输入变量相加时,则采用全加器实现较为方便. 1.译码器 一个n 变量的译码器的输出包含了n 变量的所有最小项.例如3线/8线译码器(74LS138)的8个输出包含了3个变量的全部最小项的译码.参见模拟电子技术基础教材中3线/8线译码器功能表. 用n 变量译码器加上输出与非门电路,就能获得任何形式的输入变量不大于n 的组合逻辑电路. 2.数据选择器 一个n 个地址端的数据选择器,具有2n 个数据选择的功能.例如,数据选择器74LS151,n=3,可完成八选一的功能.参见附录中八选一数据选择器(74LS151)的真值表.由真值表可写出: 21002101210221032104210521062107Y A A A D A A A D A A A D A A A D A A A D A A A D A A A D A A A D =+++++++ 数据选择器又称多路开关,其功能是把多路并行传输数据选通一路送到输出线上. 四、实验内容 1.三输入变量译码器功能测试 地址输入端A 2A 1A 0是一组三位二进制代码,其中A 2权最高,A 0权最低,按实验电路图3-1接线,将实验结果填入功能表3-1中.

编码器、译码器的功能测试及应用

学生实验报告 学院: 课程名称:数字电路实验与设计 专业班级: 姓名: 学号:

学生实验报告(一) 一、实验综述 1. 实验目的: (1)了解编码器、译码器和数码管的管脚排列和管脚功能。 (2)掌握编码器、译码器和数码管的性能和使用方法。 2. 实验所用仪器及元器件: (1)示波器、信号源、万用表、数字实验箱和电脑。 (2)集成电路TTL74LS147、TTL74LS148、TTL74LS47、TTL74LS04、电阻和电位器等。3. 实验原理: (1) 10- 4线优先编码器74HC147 74HC147外引线排列如图1所示,逻辑符号如图2所示。 图1 74HC147外引脚排列图图2 74HC147逻辑符号如图74HC147有9路输入信号,4位BCD码输出,因输出端带圈,所以输入输出均为低电平有效。他将0—9十个十进制数编成4位BCD码,可把输入端的9路输入信号和隐含的不变信号按优先级进行编码,且优先级别高的排斥级别低的。当输入端都无效时,隐含着对0路信号进行编码(输出采用反码输出)。74HC147的功能见表1。 表1 10- 4线优先编码器74HC147

(2) 8-3线优先编码器74LS148 74LS148是8-3线优先编码器逻辑符号如图3,外引线排列如图4所示。共有8个输入信号,且输入低电平有效。三位代码输出端(反码输出)。 图3 逻辑符号如图图4外引线排列图 Y为优先扩展输出端。74LS148功能见表2。 其中,ST为选通输入端,YS为选通输出端,EX 表2 74LS148功能表 (3) 3-8线二进制译码器74LS138 74LS138是3-8线二进制译码器,其逻辑符号如图5,外引线排列如图6所示。

相关主题
文本预览
相关文档 最新文档