当前位置:文档之家› 第4章 组合逻辑电路 课后答案

第4章 组合逻辑电路 课后答案

第4章 组合逻辑电路   课后答案
第4章 组合逻辑电路   课后答案

第4章

[题4.1].分析图P4.1电路的逻辑功能,写出输出的逻辑函数式,列出真值表,说明电路逻辑功能的特点。

图P4.1

B Y

56

P P =

图P4.2

解:(1)逻辑表达式

()()()

5623442344

232323232323

Y P P P P P CP P P P CP P P C CP P P P C C P P P P C P PC ===+=+=++=+ 2311P P BP AP B AB AAB AB AB ===+

()()()2323Y P P C P P C

AB AB C AB ABC AB AB C AB AB C

ABC ABC ABC ABC

=+=+++=+++=+++

(2)真值表

(3)功能

从真值表看出,这是一个三变量的奇偶检测电路,当输入变量中有偶数个1和全为0时,Y =1,否则Y=0。

[题4.3] 分析图P4.3电路的逻辑功能,写出Y 1、、Y 2的逻辑函数式,列出真值表,指出电路完成什么逻辑功能。

图P4.3

B

1

Y 2

[解]

解: 2Y AB BC AC =++

12

Y ABC A B C Y ABC A B C AB BC AC ABC ABC ABC ABC =+++=+++++=+++()())

B 、

C 为加数、被加数和低位的进位,Y 1为“和”,Y 2为“进位”。

[题4.4] 图P4.4是对十进制数9求补的集成电路CC14561的逻辑图,写出当COMP=1、Z=0、和COMP=0、Z=0时,Y 1~Y 4的逻辑式,列出真值表。

图P4.4

[解]

(1)COMP=1、Z=0时,TG 1、TG 3、TG 5导通,TG 2、TG 4、TG 6关断。

3232211 , ,A A Y A Y A Y ⊕===, 4324A A A Y ++=

(2)COMP=0、Z=0时,

Y 1=A 1, Y 2=A 2, Y 3=A 3, Y 4=A 4。

COMP =0、Z=0的真值表从略。

[题4.5] 用与非门设计四变量的多数表决电路。当输入变量A 、B 、C 、D 有3个或3个以上为1时输出为1,输入为其他状态时输出为0。

[解] 题4.5的真值表如表A4.5所示,逻辑图如图A4.5(b)所示。

表A4.5

输 入

输 出

A B C D 0 0 0 0 0 00 0 0 1 0 1 0 1 0 1

0 0 0 1 1 0 1 1 0 0 0 1 1 0

1 1 Y

00001

000

1 0 1 0 1 0 1 0

1 1 1 1 1 1

1 1 0 0 0 1 1 0 1 1 0 0

0 1 1 0 1 1

000101

11输 入

输 出

A B C D Y

由表4.5可写输出逻辑函数式

Y ABCD ABCD ABCD ABCD ABCD ABC ABD ACD BCD

=++++=+++

填卡诺图,如图A 4.5(a)所示合并最小项,得最简与—或式

Y ABC ABD ACD BCD =???

00 01 11 1000011110

Y AB CD

0 0 0 00 0 1 00 1 1 10 0 1 0

图A4.5 a

A

B C 图A4.5b

D

[题4.6] 有一水箱由大、小两台泵M L 和M S 供水,如图P4.6所示。水箱中设置了3个水位检测元件A 、B 、C 。水面低于检测元件时,检测元件给出高电平;水面高于检测元件时,检测元件给出低电平。现要求当水位超过C 点时水泵停止工作;水位低于C 点而高于B 点时M S 单独工作;水位低于B 点而高于A 点时M L 单独工作;水位低于A 点时M L 和M S 同时工作。试用门电路设计一个控制两台水泵的逻辑电路,要求电路尽量简单。

图P4.6

[解] 题4.6的真值表如表A4.6所示。

表A4.6

真值表中的C B A 、C B A 、C B A 、C AB 为约束项,利用卡诺图图A4.4(a)化简后得到:

S

M L

M

S M A BC =+, L M B =(M S 、M L 的1状态表示工作,0状态表示停止)

。 逻辑图如图A4.6(b)。

[题4.7] 设计一个代码转换电路,输入为4位二进制代码,输出为4位循环码。可以采用各种逻辑功能的门电路来实现。

[解] 题4.7的真值表如表A4.7所示。

由真值表得到 33A Y =, 232A A Y ⊕=, 121A A Y ⊕=, 010A A Y ⊕= 逻辑图如图A4.7所示。

图A4.7

1234

A

[题4.8] 试画出用4片8线-3线优先编码器74LS148组成32线-5线优先编码器的逻

辑图。74LS148的逻辑图见图4.3.3。允许附加必要的门电路。

[解] 以310~I I 表示32个低电平有效的编码输入信号,以D 4D 3D 2D 1D 0表示输出编码,可列出D 4、D 3与Y EX4Y EX3Y EX2Y EX1关系的真值表。如表A4.8所示。

从真值表得到34344EX EX EX EX Y Y Y Y D ?=+= 24243EX EX EX EX Y Y Y Y D ?=+=

逻辑电路图略。

[题4.9] 某医院有一、二、三、四号病室4间,每室设有呼叫按钮,同时在护士值班室内对应地装有一号、二号、三号、四号4个指示灯。

现要求当一号病室的铵钮按下时,无论其他病室内的按钮是否按下,只有一号灯亮。当一号病室的按钮没有按下,而二号病室的按钮按下时,无论三、四号病室的按钮是否按下,只有二号灯亮。当一、二号病室的按钮都未按下而三号病室的按钮按下时,无论四号病室的铵钮是否按下,只有三号灯亮。只有在一、二、三号病室的按钮均未按下,而四号病室的按钮按下时,四号灯才亮。试分别用门电路和优先编码器74LS148及门电路设计满足上述控制要求的逻辑电路,给出控制四个指示灯状态的高、低电平信号。

74LS148的逻辑图如图P4.9所示,其功能表如表P4.9所示。

表 P4.9 74LS148的功能表

[解]

设一、二、三、四号病室分别为输入变量1234A A A A 、、、,当其值为0时,表示呼叫按钮按下,为1时表示没有按呼叫铵钮,将它们接到74HC148的3210I I I I 、、、输入端后,便在74HC148的输出端210Y Y Y 、、得到对应的输出编码;设一、二、三、四号病室呼叫指示灯分别为Z 1、Z 2、Z 3、Z 4,其值为1指示灯亮,否则灯不亮,列出真值表,如表A4.9示。

表A4.9

将该真值表与表P4.9对照可知,在74LS148中47I I 应接1,0,1EX S Y ==。

则 1210221032104210S S S S Z Y Y Y Y Z Y Y Y Y Z Y Y Y Y Z Y Y Y Y

?=?

=??=??

=?

由上式可得出用74LS148和门电路实现题目要求的电路如图A4.9所示。

1Z 2Z 3Z 4

Z Y Y

[题4.10] 写出图P4.10中Z 1、Z 2、Z 3的逻辑函数式,并化简为最简的与-或表达式。74LS42

为拒伪的二-十进制译码器。当输入信号A 3A 2A 1A 0为0000~1001这10种状态时,输出端从

90Y Y 到依次给出低电平,当输入信号为伪码时,输出全为1。

[解]

图P4.10

1

2Z 3

Z

NOP M P O N M P O N M Y Y Y Z ++==7411

P O N M P O N M P O N M Y Y Y Z ++==8522

P O N M P O N M P O N M Y Y Y Z ++==9633

利用伪码用卡诺图化简,得:

NOP P O N P O N M Z +++=1 P M P O N P O N Z ++=2

MP P NO OP N Z ++=3

约束条件: 0=+MO MN

[题4.11] 画出用两片4线-16线译码器74LS154组成5线-32线译码的接线图。图P4.11是74LS154的逻辑框图,图中B A S S 、是两个控制端(亦称片选端)译码器工作时应使B A S S 、同时为低电平,输入信号A 3、A 2、A 1、A 0为0000~1111这16种状态时,输出端从150Y Y 到依次给出低电平输出信号。

图A4.11

[解] 电路如图A4.11所示。当A 4=0时,片(1)工作,150Y Y ???对应输出低电平;当A 4=1时,片(2)工作,3116Y Y ???对应输出低电平。

[题4.12] 试画出用3线-8线译码器74LS138和门电路产生多输出逻辑函数的逻辑图(74LS138逻辑图如图P4.12所示,功能表如表P4.12所示)。

???

??+=++==C AB C B Y BC C B A C B A Y AC Y 3

21

图P4.12

表P4.12 74LS138 功 能 表

[解] 令A=A 2,B=A 1 ,C=A O 。将Y 1Y 2Y 3写成最小项之和形式,并变换成与非-与非形式。

∑===751Y Y )7 5i (mi Y

74312Y Y Y Y )7,4,3,1j (mj Y ===∑ ∑===6403Y Y Y 0,4,6)mk(k Y

用外加与非门实现之,如图A4.12所示。

图A4.12

23

Y 1

[题4.13] 画出用4线-16线译码器74LS154(参见题4.11)和门电路产生如下多输出逻辑函数的逻辑图。

D C B A D C B A D C B A D C B A Y 1+++= D ABC D C AB CD B A BCD A Y +++=2 B A Y =3

[解]

842184211Y Y Y Y m m m m Y =+++= 141311714131172Y Y Y Y m m m m Y =+++= 765476543Y Y Y Y m m m m Y =+++=

电路图如图A4.13所示。

图A4.13

2

3

1

[题4.14] 用3线-8线译码器74LS138和门电路设计1位二进制全减器电路。输入为被减数、减数和来自低位的借位;输出为两数之差及向高位的借位信号。

[解] 设a i 为被减数,b i 为减数,c i-1为来自低位的借位,

首先列出全减器真值表A4.14,然后将Di ,Ci 表达式写成非-与非形式。最后外加与非门实现之。由全减器真值表知:

1111----+++=i i i i i i i i i i i i i c b a c b a c b a c b a D

7421m m m m +++=7421m m m m =7421Y Y Y Y =

全减器真值表

输 入输 出M i N i B i-10110100 1

0 0 00 0 10 1 00 1 11 0 01 0 11 1 0 1 1 1

D i 表4.14

0111000 1

B i

同理可知 7321Y Y Y Y Ci =

令a i =A 2,b i =A 1,c i-1=A 0。电路如图A4.14所示。

74LS138

1 0 0

图A 4.14

Y7 Y6 Y5 Y4 Y3 Y2 Y1 Y0

A2 A1 A0

S1 S2 S3

M i N i B

i-1

D i B i

[题4.15] 试用两片双4选1数据选择器74LS153和3线-8线译码器74LS138接成16选1数据选择器。74LS153的逻辑图见图4.4.24,74LS138的逻辑图见图4.4.22。

[解] 见图A4.13。

[题4.16]分析图P4.16电路,写出输出Z的逻辑函数式,并化简。CC4512为8选1

数据选择器,它的逻辑功能表如表P4.16所示。

表P4.16 CC4512的功能表

图A4.16

D

[解] 7711m D m D m D Z O O ++=

A C

B D A B D

C A B DC A B C A B C

D A B C D +++++=

=A B D A B C B D ++

[题4.17] 图P4.17是用两个4选1数据选择器组成的逻辑电路,试写出输出Z 与输入

M 、N 、P 、Q 之间的逻辑函数。已知数据选择器的逻辑函数式为

[]

S A A D A A D A A D A A D Y ?+++=013012011010

图A4.17

[解] ()()

PQ N Q P N P MQ N Q M N P NMQ Q M N Z +=+++=

[题4.18] 试用4选1数据选择器74LS153产生逻辑函数BC C A C B A Y ++= [解] 4选1数据选择器表达式为: 301201101010D A A D A A D A A D A A Y +++=

而所需的函数为

ABC BC A C B A C B A C B A BC C A C B A Y ++++=++=

C AB C AB B A C B A ?+?+?+?=1 与4选1数据选择器逻辑表达式比较,则令 01A B A A ==,,C

D C D D C D ====32101,,,

接线图如图A4.18所示。

[题4.19]用8选1数据选择器74HC151(参见图4.3.24)产生逻辑函数

D

C

B

BC

CD

B

A

D

C

A

Y+

+

+

=

[解] 令A=A2,B=A1,C=A0,D=D0~D7,将Y写成最小项之和的形式,找出与8选1数据选择器在逻辑上的对应关系,确定D0~D7所接信号。

D

ABC

BCD

A

D

BC

A

CD

B

A

D

C

AB

D

C

B

A

Y+

+

+

+

+

?

=

D

C

B

A

D

C

AB

ABCD+

+

+

1

1

1?

+

?

+

?

?

+

?

+

?

+

?

=ABC

C

AB

D

C

B

A

BC

A

D

C

B

A

D

C

B

A

,D

D

D

D

D=

=

=

=

4

1

5

01

7

6

3

2

=

=

=

=D

D

D

D

D,

如图A4.19所示。

图A4.19

D

[题4.20] 用8选1数据选择器74HC151(参见图4.3.24)产生逻辑函数

C

B

A

C

B

A

AC

Y?

+

+

=

[解]将Y变换成最小项之和形式。

1

1

1

1?

+

?

+

?

+

?

=

+

+

=C

B

A

C

B

A

ABC

C

B

A

C

B

A

C

B

A

AC

Y

令A=A2,B=A1,C=A0,

凡Y中含有的最小项,其对应的Di接1,否则接0。如图

A4.20所示。

图A4.20

[题4.21] 设计用3个开关控制一个电灯的逻辑电路,要求改变任何一个开关的状态都控制电灯由亮变灭或由灭变亮。要求用数据选择器来实现。

[解] 以A、B、C表示三个双位开关,并用0和1分别表示开关的两个状态。以Y表

示灯的状态,用1表示亮,用0表示灭。设ABC =000时Y =0,从这个状态开始,单独改变任何一个开关的状态Y 的状态要变化。据此列出Y 与A 、B 、C 之间逻辑关系的真值表。如表A4.21所示。

从真值表写出逻辑式 A B C C B A C B A C B A Y +++=

取4选1数据选择器,令A 1=A ,A 0=B ,D 0=D 3=C ,D 1=D 2=C ,即得图A4.21。

图A4.21

[题4.22] 人的血型有A 、B 、AB 、O 四种。输血时输血者的血型与受血者血型必须符合图P4.22中用箭头指示的授受关系。试用数据选择器设计一个逻辑电路,判断输血者与受血者的血型是否符合上述规定。(提示:可以用两个逻辑变量的4种取值表示输血者的血型,用另外两个逻辑变量的4种取值表示受血者的血型。)

图p4.22

[解] 以MN 的4种状态组合表示输血者的4种血型,并以PQ 的4种状态组合表示受血者的4种血型,如图A4.22(a)所示。用Z 表示判断结果,Z =0表示符合图A4.20(a)要求,Z =1表示不符合要求。

据此可列出表示Z 与M 、N 、P 、Q 之间逻辑关系的真值表。从真值表写出逻辑式为

PQ N M Q P N M Q P N M NPQ M Q P N M PQ N M Q P N M Z ++++++=

01 ?+?+?+?+?+?+?+?=MNP P MN Q P N M P N M Q NP M Q P N M Q P N M Q P N M 其真值表如表A4.22所示。

令A 2=M ,A 1=N ,A 0=P ,并使D 0=D 1=D 3=D 5=Q ,D 2=Q ,D 4=1,D 6

=D 7=0,则得到图A4.22(b)电路。

Q

图A4.22

A B O

MN (00)(01)(10)(11)PQ (00)(01)(10)(11)

(a)

[题4.23] 用8选数据选择器74HC151(参见图4.3.24)设计一个组合逻辑电路。该电路有3个输入逻辑变量A 、B 、C 和1个工作状态控制变量M 。当M =0时电路实现“意见一致”功能(A 、B 、C 状态一致时输出为1,否则输出为0),而M =1时电路实现“多数表决”功能,即输出与A 、B 、C 中多数的状态一致。

[解] 根据题意可列出真值表,如表A4.23所示。以Z 表示输出。

()()

Z M ABC ABC M ABC ABC ABC ABC =+++++

8选1数据选择器的输出逻辑式为

21002101210221032104210521062107

.Z A A A D A A A D A A A D A A A D A A A D A A A D A A A D A A A D =+?+?+?+?+?+?+?

将要求产生的函数式化为与数据选择器输出函数式完全对应的形式,得到

()()

0001

Z M ABC ABC M ABC ABC ABC ABC

ABC M ABC ABC ABC M ABC ABC M ABC M ABC =+++++=?+?+?+?+?+?+?+?

用74CC151接成的电路如图A4.23。

其中A 2=A ,A 1=B ,A 0=C ,D 0=M ,D 1=D 2=D 4=0,D 3=D 5=D 6=M ,D 7=1。

M

[题4.24] 用8选1数据选择器设计一个函数发生器电路,它的功能表如表P4.24所示。

输 入

输 出Y 0 00 11 01 1

AB A+B A ⊙B

表P 4.24

A

10

S S

[解] 由功能表写出逻辑式

()10101010101010101010()Y S S AB S S A B S S A B S S A S S AB S S A S S B S S AB S S AB S S A

=+++⊕+=+++++

8选1数据选择器的输出逻辑式为

21002101210221032104210521062107

.Y A A A D A A A D A A A D A A A D A A A D A A A D A A A D A A A D ==+?+?+?+?+?+?+?

将要求产生的函数式化为与数据选择器输出函数式完全对应的形式,得到

101010101010101010101010101010.0110

Y S S AB S S A S S AB S S AB S S AB S S AB S S A

S S A S S A B S S A B S S A S S A B S S A B S S A S S A =++++++=+?+?+?+?+?+?+?

令A 2=S 1,A 1=S 0,A 0=A ,D 0=D 7=0,D 1=D 2=D 4=B ,D 3=D 6=1,D 5=B ,即得到图A4.24电路。

B

A

图A4.24

10S S

[题4.25] 试用4位并进行加法器74LS283设计一个加/减运算电器。当控制信号M=0

时它将两个输入的4位二进制数相加,而M=1时它将两个输入的4位二进制数相减。允许附加必要的电路。

[解] 被加数用A 表示,从74LS283的0123A A A A 端接入;加数用C 表示,从74LS283的0123B B B B 端接入。

电路如图A4.25。

M=0时,I S A B C A C =++=+,即S 3S 2S 1S 0=A 3A 2A 1A 0+C 3C 2C 1C 0,此时令

C B M C I ===、0即可

M=1时,I S A B C A C =++=-,即 S 3S 2S 1S 0= A 3A 2A 1A 0-C 3C 2C 1C 0

= A 3A 2A 1A 0+[C 3C 2C 1C 0]补

此时令补、C C B M C I =-===1即可。

为此,将74LS283的进位输入端C I 接控制信号M ,加数的输入端接一异或门,所接电路图如图JT4-240所示。

图A4.25

3210

M

[题4.26] 能否用一片4位并行加法器74LS283将余3代码转换成8421的二十进制代码?如果可能,应当如何连线?

[解] 由第一章的表1.5.1可知,从余3码中减去3(0011)即可能得到8421码。

0011码8421

-=余3码BCD 设相加(减)的两个数均为正整数;被加数为0123A A A A A =,从74LS283的0123A A A A 端接入;加数为0123C C C C C =,从74LS283的0123B B B B 端接入;相加时,应使A 和C 直接相加;相减时,应使A 和C 的补码相加。

本题,0123A A A A =余3码,0123C C C C =0011,要利用74LS283实现,实现原理如下:

001101230123-=A A A A S S S S 补)0011(01230123+=A A A A S S S S

1)0011(01230123++=反A A A A S S S S

110101230123+=A A A A S S S S

于是得到图A4.26电路。

图A 4.26

[题4.27] 试利用两片4位二制并行加法器74LS283和必要的门电路组成1位二—十进制加法器电路。(提示:根据BCD 码中8421码的加法运算规则,当两数之和小于、等于9(1001)时,相加的结果和按二进制数相加所得到的结果一样。当两数之和大于9(即等于1010~1111)时,则应在按二进制数相加的结果上加6(0110),这样就可能给出进位信号,同时得到一个小于9的和。)

[解] 当两个8421BCD 码相加时,每个数都不会大于9(1001),考虑低位的进位,最大的和为9+9+1=19。

当用4位二进制加法器74283完成这个加法运算时,加法器输出的是4位二进制数表示的和,而不是BCD 码表示的和。因此,必须将4位二进制数表示的和转换成8421BCD 码。 (1)和数一览表如表A4.27(a)所示

用十进制表示的和

按BCD 码相加的结果

0 0 0 0 0表A4.27(a) 012345678910111213141516171819

0 0 0 0 10 0 0 1 00 0 0 1 10 0 1 0 00 0 1 0 10 0 1 1 00 0 1 1 10 1 0 0 00 1 0 0 11 0 0 0 01 0 0 0 11 0 0 1 01 0 0 1 11 0 1 0 01 0 1 0 11 0 1 1 01 0 1 1 11 1 0 0 01 1 0 0 1

按二进制数相加的结果

0 0 0 0 00 0 0 0 10 0 0 1 00 0 0 1 10 0 1 0 00 0 1 0 10 0 1 1 00 0 1 1 10 1 0 0 00 1 0 0 10 1 0 1 00 1 0 1 10 1 1 0 00 1 1 0 10 1 1 1 00 1 1 1 11 0 0 0 01 0 0 0 11 0 0 1 01 0 0 1 1

二进制数加6修正的结果

0 0 0 0 00 0 0 0 10 0 0 1 00 0 0 1 10 0 1 0 00 0 1 0 10 0 1 1 00 0 1 1 10 1 0 0 00 1 0 0 11 0 0 0 01 0 0 0 11 0 0 1 01 0 0 1 11 0 1 0 01 0 1 0 11 0 1 1 01 0 1 1 11 1 0 0 01 1 0 0 1

C O1 S 3 S 2 S 1 S 0

C O1 S 3 S 2 S 1 S 0

C O2 S 3 S 2 S 1 S 0

将0~19的二进制数和与用8421BCD 码表示的和进行比较发现,当和数<1001(9)时,二进制码与8421BCD 码相同;当数>1001时,只要在二进制和上加0110(6)就可以把二进制

和转换为8421BCD 码的和,同时产生进位输出。这一转换可以由一个修正电路来完成。 (2)修正电路的设计

设计修正电路,先列设计一览表,见表JT4-25(b).

表A4.27(b)

修 正 值

0 0 0 00 0 0 0 00 0 0 0 10 0 0 1 00 0 0 1 10 0 1 0 00 0 1 0 10 0 1 1 00 0 1 1 10 1 0 0 00 1 0 0 11 0 0 0 01 0 0 0 11 0 0 1 01 0 0 1 11 0 1 0 01 0 1 0 11 0 1 1 01 0 1 1 11 1 0 0 01 1 0 0 1

第2片74LS83的输入

0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0

0 0 0 0 00 0 0 0 10 0 0 1 00 0 0 1 10 0 1 0 00 0 1 0 10 0 1 1 00 0 1 1 10 1 0 0 00 1 0 0 10 1 0 1 00 1 0 1 10 1 1 0 00 1 1 0 10 1 1 1 00 1 1 1 11 0 0 0 01 0 0 0 11 0 0 1 01 0 0 1 1

第2片74LS83的输出

两个8421BCD 码相加的和

A 3 A 2 A 1 A 0

C O1 S 3 S 2 S 1 S 0

B 3 B 2 B 1 B 0

C O2 S 3 S 2 S 1 S 0

由表A 4-27(b)可写出修正函数

B 3(

C O1A 3A 2A 1A 0) = B 0 = 0

约束项:∑d =(20~31)

B 2(

C O1A 3A 2A 1A 0) = B 1 = ∑m (10~19)

用卡诺图化简修正函数,化简过程如图A4.27(c)所示,结果得

B 2=B 1=

C O1+A 3A 1+A 3A 2

从表A4.27(b)还可看出,两个8421BCD 码相加时的进位C O 等于A 2或A 1。根据式A4.27b 和上述分析画电路图,如图A4.27(d)所示。

数字逻辑电路期末考试试卷及答案

期末考试试题(答案) 考试科目:数字逻辑电路 试卷类别:3卷 考试时间:110 分钟 XXXX 学院 ______________系 级 班 姓名 学号 题号 一 二 三 四 总分 得分 一、选择题(每小题2分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 已知逻辑表达式C B C A AB F ++=,与它功能相等的函数表达式_____B____。 A .A B F = B . C AB F += C .C A AB F += D . C B AB F += 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A . 原码 B .ASCII 码 C . 补码 D . BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 得分 评卷人 装 订 线 内 请 勿 答 题

6. 与逻辑函数D C B A F+ + + =功能相等的表达式为___C_____。 D C B A F+ + + =B.D C B A F+ + + = D.D C B A F+ + = 7.下列所给三态门中,能实现C=0时,F=AB;C=1时,F为高阻态的逻辑功能的是____A______。 8. 如图所示电路,若输入CP脉冲的频率为100KHZ,则输出Q的频率为 _____D_____。 A.500KHz B.200KHz C.100KHz D.50KHz 9.下列器件中,属于时序部件的是_____A_____。 A.计数器B.译码器C.加法器D.多路选择器 装

组合逻辑电路习题解答

自我检测题 1.组合逻辑电路任何时刻的输出信号,与该时刻的输入信号 有关 ,与以前的输入信号 无关 。 2.在组合逻辑电路中,当输入信号改变状态时,输出端可能出现瞬间干扰窄脉冲的现象称为 竞争冒险 。 3.8线—3线优先编码器74LS148的优先编码顺序是7I 、6I 、5I 、…、0I ,输出为 2Y 1Y 0Y 。输入输出均为低电平有效。当输入7I 6I 5I …0I 为时,输出2Y 1Y 0Y 为 010 。 4.3线—8线译码器74HC138处于译码状态时,当输入A 2A 1A 0=001时,输出07Y ~Y = 。 5.实现将公共数据上的数字信号按要求分配到不同电路中去的电路叫 数据分配器 。 6.根据需要选择一路信号送到公共数据线上的电路叫 数据选择器 。 7.一位数值比较器,输入信号为两个要比较的一位二进制数,用A 、B 表示,输出信号为比较结果:Y (A >B ) 、Y (A =B )和Y (A <B ),则Y (A >B )的逻辑表达式为B A 。 8.能完成两个一位二进制数相加,并考虑到低位进位的器件称为 全加器 。 9.多位加法器采用超前进位的目的是简化电路结构 × 。 (√,× ) 10.组合逻辑电路中的冒险是由于 引起的。 A .电路未达到最简 B .电路有多个输出 C .电路中的时延 D .逻辑门类型不同 11.用取样法消除两级与非门电路中可能出现的冒险,以下说法哪一种是正确并优先考虑的 A .在输出级加正取样脉冲 B .在输入级加正取样脉冲 C .在输出级加负取样脉冲 D .在输入级加负取样脉冲 12.当二输入与非门输入为 变化时,输出可能有竞争冒险。 A .01→10 B .00→10 C .10→11 D .11→01 13.译码器74HC138的使能端321E E E 取值为 时,处于允许译码状态。 A .011 B .100 C .101 D .010 14.数据分配器和 有着相同的基本电路结构形式。 A .加法器 B .编码器 C .数据选择器 D .译码器 15.在二进制译码器中,若输入有4位代码,则输出有 个信号。 A .2 B .4 C .8 D .16 16.比较两位二进制数A=A 1A 0和B=B 1B 0,当A >B 时输出F =1,则F 表达式是 。 A . B A F = B .0101B B A A F ++= .0011B A B A F ++=

第4章组合逻辑电路课后答案

第4 章 [题 4.1].分析图P4.1电路的逻辑功能,写出输出的逻辑函数式,列出真值表,说明电路逻辑功能的特点。 P3AP1P5P2P3P4 A P 4CP2 P3Y P5P6 B P1 AB Y P2BP1 C P6CP4 图P4.1 图P4.2 解:( 1)逻辑表达式 Y P5P6P2 P3 P4 CP4P2 P3P4CP4 P2 P3 C CP2 P3P2 P3 C C P2P3 PPC23P PC 2 3 P2 P3BP1 AP1 B AB AAB AB AB Y P2P3C P2 P3C AB AB C AB ABC AB ABC AB C ABC AB ABC AB ABC C ( 2)真值表 A B C Y A B C Y 00011000 00101011 01001101 01111110 (3)功能 从真值表看出,这是一个三变量的奇偶检测电路,当输入变量中有偶数个1 和全为0 时,Y=1,否则 Y=0 。 [题 4.3] 分析图P4.3电路的逻辑功能,写出Y1、、Y2的逻辑函数式,列出真值表,指出 电路完成什么逻辑功能。

A B Y 2 C Y 1 图 P4.3 [解 ] 解: Y2AB BC AC Y1 ABC ( A B ) C Y2 ABC ( A B ) BC AC C AB ABC ABC ) ABC ABC 真值表: A B C Y1 Y2 00000 00110 01010 01101 10010 10101 11001 11111 由真值表可知:电路构成全加器,输入 A 、B 、C 为加数、被加数和低位的进位,Y 1为“和”, Y 2为“进位”。 [题 4.4]图 P4.4 是对十进制数9 求补的集成电路CC14561 的逻辑图,写出当COMP=1 、Z=0 、和 COMP=0 、 Z=0 时, Y 1~ Y 4的逻辑式,列出真值表。

《数字逻辑》考试答案

中国石油大学(北京)远程教育学院 《数字逻辑》期末复习题 一、单项选择题 1. TTL 门电路输入端悬空时,应视为( A ) A. 高电平 B. 低电平 C. 不定 D. 高阻 2. 最小项D C B A 的逻辑相邻项是( D ) A .ABCD B .D B C A C .C D AB D .BCD A 3. 全加器中向高位的进位1+i C 为( D ) A. i i i C B A ⊕⊕ B.i i i i i C B A B A )(⊕+ C.i i i C B A ++ D.i i i B C A )(⊕ 4. 一片十六选一数据选择器,它应有( A )位地址输入变量 A. 4 B. 5 C. 10 D. 16 5. 欲对78个信息以二进制代码表示,则最少需要( B )位二进制码 A. 4 B. 7 C. 78 D. 10 6. 十进制数25用8421BCD 码表示为(B ) A.10 101 B.0010 0101 C.100101 D.10101 7. 常用的BCD 码有(C ) A:奇偶校验码 B:格雷码 C:8421码 D:ASCII 码 8. 已知Y A AB AB =++,下列结果中正确的是(C ) A:Y=A B:Y=B C:Y=A+B D: Y A B =+ 9. 下列说法不正确的是( D ) A:同一个逻辑函数的不同描述方法之间可相互转换 B:任何一个逻辑函数都可以化成最小项之和的标准形式 C:具有逻辑相邻性的两个最小项都可以合并为一项 D:任一逻辑函数的最简与或式形式是唯一的 10. 逻辑函数的真值表如下表所示,其最简与或式是(C )

A: ABC ABC ABC ++ B: ABC ABC ABC ++ C: BC AB + D: BC AC + 11.以下不是逻辑代数重要规则的是( D ) 。 A. 代入规则 B. 反演规则 C. 对偶规则 D. 加法规则 12.已知函数E)D (C B A F +?+=的反函数应该是( A ) 。 A. [])E (D C B A F +?+?= B. [])E D (C B A F +?+?= C. [])E (D C B A F +?+?= D. [] )E D (C B A F +?+?= 13.组合逻辑电路一般由( A )组合而成。 A 、门电路 B 、触发器 C 、计数器 D 、寄存器 14.求一个逻辑函数F 的对偶式,可将F 中的( A )。 A 、“·”换成“+”,“+”换成“·”,常数中的“0”“1”互换 B 、原变量换成反变量,反变量换成原变量 C 、变量不变 D 、常数中的“0”换成“1”,“1”换成“0” 15.逻辑函数()()()()=++++=E A D A C A B A F ( A ) 。 A. AB+AC+AD+AE B. A+BCED C. (A+BC)(A+DE) D. A+B+C+D+E 16.下列逻辑电路中,不是组合逻辑电路的有( D ) A 、译码器 B 、编码器 C 、全加器 D 、寄存器 17.逻辑表达式A+BC=( C )

3组合逻辑电路习题解答

3组合逻辑电路习题解答 33 自我检测题 1.组合逻辑电路任何时刻的输出信号,与该时刻的输入信号 有关 ,与以前的输入信号 无关 。 2.在组合逻辑电路中,当输入信号改变状态时,输出端可能出现瞬间干扰窄脉冲的现象称为 竞争冒险 。 3.8线—3线优先编码器74LS148的优先编码顺序是7I 、6I 、5I 、…、0I ,输出为 2Y 1Y 0Y 。输入输出均为低电平有效。当输入7I 6I 5I …0I 为11010101时,输出2Y 1Y 0Y 为 010 。 4.3线—8线译码器74HC138处于译码状态时,当输入A 2A 1A 0=001时,输出07Y ~Y = 11111101 。 5.实现将公共数据上的数字信号按要求分配到不同电路中去的电路叫 数据分配器 。 6.根据需要选择一路信号送到公共数据线上的电路叫 数据选择器 。 7.一位数值比较器,输入信号为两个要比较的一位二进制数,用A 、B 表示,输出信号为比较结果:Y (A >B ) 、Y (A =B )和Y (A <B ),则Y (A >B )的逻辑表达式为B A 。 8.能完成两个一位二进制数相加,并考虑到低位进位的器件称为 全加器 。 9.多位加法器采用超前进位的目的是简化电路结构 × 。 (√,× ) 10.组合逻辑电路中的冒险是由于 引起的。 A .电路未达到最简 B .电路有多个输出 C .电路中的时延 D .逻辑门类型不同 11.用取样法消除两级与非门电路中可能出现的冒险,以下说法哪一种是正确并优先考虑的? A .在输出级加正取样脉冲 B .在输入级加正取样脉冲 C .在输出级加负取样脉冲 D .在输入级加负取样脉冲 12.当二输入与非门输入为 变化时,输出可能有竞争冒险。 A .01→10 B .00→10 C .10→11 D .11→01 13.译码器74HC138的使能端321E E E 取值为 时,处于允许译码状态。 A .011 B .100 C .101 D .010 14.数据分配器和 有着相同的基本电路结构形式。 A .加法器 B .编码器 C .数据选择器 D .译码器 15.在二进制译码器中,若输入有4位代码,则输出有 个信号。 A .2 B .4 C .8 D .16 16.比较两位二进制数A=A 1A 0和B=B 1B 0,当A >B 时输出F =1,则F 表达式是 。

组合逻辑电路练习题和答案

第2章习题 一、单选题 1.若在编码器中有50个编码对象,则输出二进制代码位数至少需要( B )位。 A)5 B)6 C)10 D)50 2.一个16选1的数据选择器,其选择控制(地址)输入端有( C )个,数据输入端有( D )个,输出端有( A )个。 A)1 B)2 C)4 D)16 3.一个8选1的数据选择器,当选择控制端S2S1S0的值分别为101时,输出端输出( D )的值。 A)1 B)0 C)D4D)D5 4.一个译码器若有100个译码输出端,则译码输入端至少有( C )个。 A)5 B)6 C)7 D)8 5.能实现并-串转换的是( C )。 A)数值比较器B)译码器C)数据选择器D)数据分配器 6.能实现1位二进制带进位加法运算的是( B )。 A)半加器B)全加器C)加法器D)运算器 7.欲设计一个3位无符号数乘法器(即3×3),需要()位输入及( D )位输出信号。A)3,6 B)6,3 C)3,3 D)6,6 8.欲设计一个8位数值比较器,需要()位数据输入及( B )位输出信号。 A)8,3 B)16,3 C)8,8 D)16,16 9. 4位输入的二进制译码器,其输出应有( A )位。 A)16 B)8 C)4 D)1 二、判断题 1. 在二——十进制译码器中,未使用的输入编码应做约束项处理。() 2. 编码器在任何时刻只能对一个输入信号进行编码。()

3. 优先编码器的输入信号是相互排斥的,不容许多个编码信号同时有效。( ) 4. 编码和译码是互逆的过程。( ) 5. 共阴发光二极管数码显示器需选用有效输出为高电平的七段显示译码器来驱动。( ) 6. 3位二进制编码器是3位输入、8位输出。( ) 7. 组合逻辑电路的特点是:任何时刻电路的稳定输出,仅仅取决于该时刻各个输入变量的取值,与电路原来的状态无关。( ) 8. 半加器与全加器的区别在于半加器无进位输出,而全加器有进位输出。( ) 9. 串行进位加法器的优点是电路简单、连接方便,而且运算速度快。( ) 10. 二进制译码器的每一个输出信号就是输入变量的一个最小项。( ) 11. 竞争冒险是指组合电路中,当输入信号改变时,输出端可能出现的虚假信号。( ) 三、综合题 1.如图所示逻辑电路是一个什么电路,当A 3~A 0输入0110,B 3~B 0输入1011,Cin 输入1时,Cout 及S 3~S 0分别输出什么 +A 3B 3C in 3C out +++A 2B 2A 1B 1A 0B 0210 答:图中所示电路是4位串行进位全加器电路 C out =1,S 3S 2S 1S 0=0001 2.使用门电路设计一个4选1的数据选择 器,画出逻辑图。 解:4选1数据选择器有4个数据输入 端(D 0D 1D 2D 3),2个选择输入端(S 1S 0),1个 数据输出端(Y )。真值表如下: D S 1 S 0 Y

04第四章组合逻辑电路

第四章组合逻辑电路 ▲ 4.1概述 1 ?逻辑电路的分类 (1)组合逻辑电路(简称组合电路); (2)时序逻辑电路(简称时序电路)。 2、组合逻辑电路的特点 (1)功能特点:任一时刻的输出状态仅仅取决于同一时刻的输入状态, 一时刻的状态无关。 (2)结构特点:不包含记忆单元,即存储单元。 3、组合逻辑电路的描述 如图所示: 用一组逻辑函数表示为: 『丫1 f1(X’、 X、X n) 斗丫2 f2(X’、 X2、 X n) JY n f n(X1、X2、X n) 4.2组合逻辑电路的分析和设计方法 一、分析方法 分析就是已知电路的逻辑图,分析电路的逻辑功能。分析步骤如下: (1)根据已知的逻辑图,从输入到输出逐级写出逻辑函数表达式。 (2)利用公式法或卡诺图法化简逻辑函数表达式(最简与或表达式)(3)列真值表。 (4)确定其逻辑功能。 例1、分析下图组合逻辑电路的功能。而与前 组合逻辑电路输出信号

(4)由真值表知:若输入两个或者两个以上的1, 输出丫为1 功能:在实际应用中可作为多数表决电路 使用。 练习:分析如图所示组合逻辑电路的功能 ▲二、设计方法 设计就是已知实际逻辑问题,设计实现该功能的最简电路。 设计步骤如下: (1)根据实际逻辑问题进行逻辑抽象,即确定输入、输出变量的个数,并对它们进行逻辑赋值(即确定0和1代表的含义)。 (2)根据逻辑功能列出真值表,求出逻辑函数表达式。 (3)选定逻辑器件。 1、若选用SSI (小规模门电路),则化简函数表达式,画出实现电路; 2、若选用MSI (中规模门电路),则变换函数表达式形式,画出实现电路。例2、 有三个班学生上自习,大教室能容纳两个班学生,小教室能容纳一个班学生。设计两个教室是否开灯的逻辑控制电路,用SSI门电路实现。要求如下: (1)一个班学生上自习,开小教室的灯。 (2)两个班上自习,开大教室的灯。 (3)三个班上自习,两教室均开灯。 解:(1)逻辑抽象: 设输入变量A、E、C分别表示三个班学生是否上自习,1表示上自习,0表示不上自习; 输出变量Y、F分别表示大教室、小教室的灯是否亮,1表示亮,0表示灭。 (2)列真值表: (3)列真值 表: ABC 丫 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 1 1 1 0 1 1 1 1 1

金陵科技学院数字逻辑电路期末考试试卷

分)20一、选择题(每小题2分,共 。273)中,它的第三位数2 的位权为___B___1.八进制(8 (8) D.B.(64) C.(256)A.(128) 10101010 与它功能相等的函数表达式已知逻辑表达式2. C?AC?BF?AB, _____B____。CF?AB?ABF?A.B.C?AB?AF C?AB?BF..CD 数字系统中,采用____C____可以将减法运算转化为加法运算。3. 码BCD D.补码B.ASCII码C.A.原码 ___B_____其反 映的逻辑关系是。4.对于如图所示波形,D.无法判 断.异或关系C.同或关系A.与关系B____B_____1的结果是连续异或。1985个5. .逻辑概念错误C.不确定D.0B.1 A功能相等的表达式为6. 与逻辑函数___C_____。DC?F?A?B? D?AF??B?CD??C?F?AB.BA.DC?F?ABF?ACDB? D..C为高阻态的逻辑FC=1时,;时,F=7.下列所给三态门中,能实现 C=0AB A

& 。功能的是____A______ F B A & C EN B F B C A A &&EN F B F B A C C EN EN C D 页7 共页1 第卷答案3 数字逻辑电路 8. 如图所示电路,若输入CP脉冲的频率为100KHZ,则输出Q的频率为 _____D_____。 A. 500KHz B.200KHz 50KHz .. 100KHz D D CP Q 。9.下列器件中,属于时序部件的是_____A_____ .多路选择器D C.加法器BA.计数器.译码器 ,”“5.下图是共阴极七段LED数码管显示译码器框图,若要显示字符10 。应为____C______则译码器输出a~g0011011 D.1100011 C. 1011011

组合逻辑电路课后答案

第4章 [题].分析图电路的逻辑功能,写出输出的逻辑函数式,列出真值表,说明电路逻辑功能的特点。 图P4.1 B Y AP 56 P P = 图 解:(1)逻辑表达式 ()()() 5623442344 232323232323 Y P P P P P CP P P P CP P P C CP P P P C C P P P P C P PC ===+=+=++=+ 2311P P BP AP BABAAB AB AB ===+ ()()()2323Y P P C P P C AB AB C AB ABC AB AB C AB AB C ABC ABC ABC ABC =+=+++=+++=+++ (2)真值表 (3)功能 从真值表看出,这是一个三变量的奇偶检测电路,当输入变量中有偶数个1和全为0时,Y =1,否则Y=0。 [题] 分析图电路的逻辑功能,写出Y 1、、Y 2的逻辑函数式,列出真值表,指出电路完成什么逻辑功能。

图P4.3 B 1 Y 2 [解] 解: 2Y AB BC AC =++ 12 Y ABC A B C Y ABC A B C AB BC AC ABC ABC ABC ABC =+++=+++++=+++()()) 由真值表可知:、C 为加数、被加数和低位的进位,Y 1为“和”,Y 2为“进位”。 [题] 图是对十进制数9求补的集成电路CC14561的逻辑图,写出当COMP=1、Z=0、和COMP=0、Z=0时,Y 1~Y 4的逻辑式,列出真值表。

图P4.4 [解] (1)COMP=1、Z=0时,TG 1、TG 3、TG 5导通,TG 2、TG 4、TG 6关断。 3232211 , ,A A Y A Y A Y ⊕===, 4324A A A Y ++= (2)COMP=0、Z=0时, Y 1=A 1, Y 2=A 2, Y 3=A 3, Y 4=A 4。 COMP=0、Z=0的真值表从略。 [题] 用与非门设计四变量的多数表决电路。当输入变量A 、B 、C 、D 有3个或3个以上为1时输出为1,输入为其他状态时输出为0。 [解] 题的真值表如表所示,逻辑图如图(b)所示。

习题1-门电路和组合逻辑电路

第20章习题 门电路和组合逻辑电路 S10101B 为实现图逻辑表达式的功能,请将TTL 电路多余输入端C 进行处理(只需一种处理方法),Y 1的C 端应接 ,Y 2的C 端应接 , 解:接地、悬空 S10203G 在F = AB +CD 的真值表中,F =1的状态有( )。 A. 2个 B. 4个 C. 3个 D. 7个 解:D S10203N 某与非门有A 、B 、C 三个输入变量,当B =1时,其输出为( )。 A. 0 B. 1 C. D. AC 解:C S10204B 在数字电路中,晶体管的工作状态为( )。 A. 饱和 B. 放大 C. 饱和或放大 D. 饱和或截止 解:D S10204I 逻辑电路如图所示,其逻辑函数式为( )。 A. B. C. D. 解:C S10204N 已知F =AB +CD ,选出下列可以肯定使F = 0的情况( )。 A. A = 0,BC = 1 B. B = C = 1 C. C = 1,D = 0 D. AB = 0,CD = 0 解:D S10110B 三态门电路的三种可能的输出状态是 , , 。 解:逻辑1、逻辑0、高阻态 S10214B 逻辑图和输入A ,B 的波形如图所示,分析当输出F 为“1”的时刻应是( )。 A. t 1 B. t 2 C. t 3 解:A Y

S10211I 图示逻辑电路的逻辑式为( )。 A. B. C. 解:B S10212I 逻辑电路如图所示,其功能相当于一个( )。 A. 门 B. 与非门 C. 异或门 解:C S10216B 图示逻辑电路的逻辑式为( )。 A. A +B B. C. AB + 解:C S10217B 逻辑图如图(a )所示,输入A 、B 的波形如图(b ),试分析在t 1瞬间输出F 为( )。 A. “1” B. “0” C. 不定 解:B S10218B 图示逻辑符号的逻辑状态表为( )。 A. B. C. 解:B

数字电子技术第四章组合逻辑电路

第四章组合逻辑电路 4.1概述 1、数字电路种类:逻辑电路根据输岀信号对输入信号响应的不同分为两类:一类是组合逻辑电路,简称组合电路;另一类是时序逻辑电路,简称时序电路。 2、组合逻辑电路定义:某一时刻电路的输出状态仅由该时刻电路的输入信号决定,而与该电路在此输入信号之前所具有的状态无关。从电路结构上来看,组合逻辑电路的输出端和输入端之间没有反馈回路。 3、电路结构框图 组合电路的一般电路结构如右图所示。可用如下表达式裏示: X n-P X n) 点. | i 1)电路由逻辑门构成,不含记忆元件. 2)输出卷反馈到输入的回路(不含反馈元 件)所以输出与电路原来状态无关时序电路(以 后祥细讨论)某一时刻电路的输岀状态不仅取决 于该时刻电路的输入信号,还与该电路在此输入 信号之前所具有的状态有关。组逻电合辑路 X千― n-1 X n 组合电路有两类问题:7?给定电路,分析其功能。

4.2组合逻辑电路的分析方法与设计方法 421组合电路的分析方法 一、分析步骤: 1、由已知的逻辑图,写出相应的逻辑函数式; 2、对函数式进行化简; 3、根据化简后的函数式列真值表; 4、找出其逻辑功能; 5、评价与改进。(评价给定的逻辑电路是否经济、合理。)设计步骤用框图表示如下:

A?B (A^)C i+AB C (A^B)C f +AB = (A^B)C i +AB 一位二进制加法器。 A 为被加数, B 为加数, C,为低位的进位数。 S 为本位之和, C 。是本位向高位的进 位数。 ? 真值表 A^B 0 0 7 0 1 1 0 0 0 0 0 0 0 0 1 0 0 1 0 1 s (A?B)C Z 0 0 1 0 1 0 0 1 1 0 0 1 0 1 A?B?C. AB T" 0 0 0 0 0 0 0 0 1 0 1 Co P 0 0

数字逻辑电路期末考试卷及答案

- - 优质资料 期末考试试题(答案) 考试科目:数字逻辑电路 试卷类别:3卷考试时间:110 分钟 XXXX 学院 ______________系级班 学号 题号 一 二 三 四 总分 得分 一、选择题(每小题2分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 已知逻辑表达式C B C A AB F ++=,与它功能相等的函数表达式_____B____。 A .A B F =B . C AB F += C .C A AB F += D .C B AB F += 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A . 原码 B .ASCII 码 C . 补码 D .BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 得分 评卷人 装 订 线 内 请 勿 答 题

- 优 A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 6. 与逻辑函数D C B A F +++=功能相等的表达式为___C_____。 A .D C B A F +++=D C B A F +++= .D C B A F ++= 7.下列所给三态门中,能实现C=0时,F=AB ;C=1时,F 为高阻态的逻辑功能的是____A______。 8. 如图所示电路,若输入CP 脉冲的频率为100KHZ ,则输出Q 的频率为_____D_____。 A . 500KHz B .200KHz

组合逻辑电路习题解答

复习思考题 3-1 组合逻辑电路的特点 从电路结构上看,组合电路只由逻辑门组成,不包含记忆元件,输出和输入之间无反馈。任意时刻的输出仅仅取决于该时刻的输入,而与电路原来的状态无关,即无记忆功能。 3-2 什么是半加什么是全加区别是什么 若不考虑有来自低位的进位将两个1位二进制数相加,称为半加。两个同位的加数和来自低位的进位三者相加,称为全加。半加是两个1位二进制数相加,全加是三个1位二进制数相加。 3-3 编码器与译码器的工作特点 编码器的工作特点:将输入的信号编成一个对应的二进制代码,某一时刻只能给一个信号编码。译码器的工作特点:是编码器的逆操作,将每个输入的二进制代码译成对应的输出电平。 3-4 用中规模组合电路实现组合逻辑函数是应注意什么问题 中规模组合电路的输入与输出信号之间的关系已经被固化在芯片中,不能更改,因此用中规模组合电路实现组合逻辑函数时要对所用的中规模组合电路的产品功能十分熟悉,才能合理地使用。 3-5 什么是竞争-冒险产生竞争-冒险的原因是什么如何消除竞争-冒险 在组合逻辑电路中,当输入信号改变状态时,输出端可能出现虚假信号----过渡干扰脉冲的现象,叫做竞争冒险。门电路的输入只要有两个信号同时向相反方向变化,这两个信号经过的路径不同,到达输入端的时间有差异,其输出端就可能出现干扰脉冲。消除竞争-冒险的方法有:接入滤波电容、引入选通脉冲、修改逻辑设计。 习 题 3-1试分析图所示各组合逻辑电路的逻辑功能。 解: (a)图 (1) 由逻辑图逐级写出表达式:)()(D C B A Y ⊕⊕⊕= (2) 化简与变换:

令 D C Y B A Y ⊕=⊕=21 则 21Y Y Y ⊕= 输入 中间变量 中间变量 输出 A B C D Y 1 Y 2 Y 0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1 1 0 0 0 0 1 1 1 1 1 1 1 1 0 0 0 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 1 0 0 1 1 0 0 1 0 1 1 0 (4)分析逻辑功能:由真值表可知,该电路所能完成的逻辑功能是:判断四个输入端输入1的情况,当输入奇数个1时,输出为1,否则输出为0。 (b)图 (1) 由逻辑图逐级写出表达式: B A B A Y ⊕⊕⊕=(2) 化简与变换:Y=1 由此可见,无论输入是什么状态,输出均为1 3-2 试分析图所示各组合逻辑电路的逻辑功能,写出函数表达式。

组合逻辑电路练习题及答案

组合逻辑电路练习题及答案 一.填空题(10) 1.任何有限的逻辑关系,不管多么复杂,其逻辑函数都可通过逻辑变量的与、或、非三种运算符加以实现,但逻辑函数的一般表达式不是唯一的,而其标准表达式是唯一的。 2.任意两个最小项之积为0,任意两个最大项之和为1。 3.对于逻辑函数BC A F,但这 AB F,为了化简,利用逻辑代数的基本定理,可表示为C C A AB 可能引起0型险象,因为在B=1、C=1时,化简前逻辑函数的值恒为1,但化简后逻辑函数的值为A A。 4.当我们在计算机键盘上按一个标为“9”的按键时,键盘向主机送出一个ASCII码,这个ASCII码的值为39。 5.在 3.3V供电的数字系统里,所谓的高电平并不是一定是 3.3V,而是有一个电压范围,我们把这个电压范围称为高电平容限;同样所谓的低电平并不是一定是0V,而也是有一个电压范围,我们把这个电压范围称为低电平容限。 二.选择题(10) 1.在下列程序存储器的种类中,可在线改写的有 b d。 a. PROM; b. E2PROM; c. EPROM; d. FLASH_M 2.为了实现某种逻辑运算关系,其实现方法有多种多样,其中历史上曾经用到的有以下几种方式,但实现的空间密度最小、能耗最低、能得到普及应用的实现方式是d。 a. 机械式; b.电磁式; c. 分立元件式; d. 集成电路 3.在数字电路中,根据电路是否具有反馈记忆功能,将其分为组合逻辑电路和时序逻辑电路两种。下列各项中,为组合逻辑电路的是befgi ,为时序逻辑电路的是acdh。 a. 触发器; b. 译码器; c. 移位寄存器; d. 计数器; e. 加法器; f. 编码器;g. 数值比较器;h. 寄存器;i. 多路选择器 4.卡诺图上变量的取值顺序是采用b的形式,以便能够用几何上的相邻关系表示逻辑上的相邻。 a. 二进制码; b. 循环码; c. ASCII码; d. 十进制码 5.在可编程逻辑芯片中,有PROM、PAL、GAL、CPLD等多种结构方式,其中PROM是b,PAL 是c,GAL是a,CPLD是a。 a. 与阵列可编程; b.或阵列可编程; c. 与或阵列皆可编程 三.简答题(50) 1.分别画出JK和D触发器的电路符号图,并分别画出将JK触发器转换成D触发器以及将D触发器转换成JK触发器的电路连接图。 1

数字逻辑电路期末考试试卷及答案

期末考试试题(答案) 一、选择题(每小题2分,共20分) 1.八进制(273)8中,它的第三位数2 的位权为___B___。 A.(128)10B.(64)10C.(256)10 D.(8)10 2. 已知逻辑表达式C B C A AB F+ + =,与它功能相等的函数表达式 _____B____。 A.AB F=B.C AB F+ = C.C A AB F+ =D.C B AB F+ = 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A.原码B.ASCII码C.补码D.BCD码4.对于如图所示波形,其反映的逻辑关系是___B_____。 A.与关系B.异或关系C.同或关系D.无法判断 5.连续异或1985个1的结果是____B_____。 A.0B.1 C.不确定D.逻辑概念错误 6. 与逻辑函数D C B A F+ + + =功能相等的表达式为___C_____。 A.D C B A F+ + + =B.D C B A F+ + + = C.D C B A F=D.D C B A F+ + = 7.下列所给三态门中,能实现C=0时,F=AB;C=1时,F为高阻态的逻辑功能的是____A______。 B A F & ? F B A &

8. 如图所示电路,若输入CP脉冲的频率为100KHZ,则输出Q的频率为_____D_____。 A. 500KHz B.200KHz C. 100KHz D.50KHz 9.下列器件中,属于时序部件的是_____A_____。 A.计数器B.译码器C.加法器D.多路选择器 10.下图是共阴极七段LED数码管显示译码器框图,若要显示字符“5”,则译码器输出a~g应为____C______。 A. 0100100 B.1100011 C. 1011011 D.0011011 二、填空题(每小题2分,共20分) 11.TTL电路的电源是__5__V,高电平1对应的电压范围是__2.4-5____V。 12.N 个输入端的二进制译码器,共有___N2____个输出端。对于每一组输入代码,有____1____个输出端是有效电平。 13.给36个字符编码,至少需要____6______位二进制数。 14.存储12位二进制信息需要___12____个触发器。

第3章--组合逻辑电路习题解答

复习思考题 3-1 组合逻辑电路的特点? 从电路结构上看,组合电路只由逻辑门组成,不包含记忆元件,输出和输入之间无反馈。任意时刻的输出仅仅取决于该时刻的输入,而与电路原来的状态无关,即无记忆功能。 3-2 什么是半加?什么是全加?区别是什么? 若不考虑有来自低位的进位将两个1位二进制数相加,称为半加。两个同位的加数和来自低位的进位三者相加,称为全加。半加是两个1位二进制数相加,全加是三个1位二进制数相加。 3-3 编码器与译码器的工作特点? 编码器的工作特点:将输入的信号编成一个对应的二进制代码,某一时刻只能给一个信号编码。译码器的工作特点:是编码器的逆操作,将每个输入的二进制代码译成对应的输出电平。 3-4 用中规模组合电路实现组合逻辑函数是应注意什么问题? 中规模组合电路的输入与输出信号之间的关系已经被固化在芯片中,不能更改,因此用中规模组合电路实现组合逻辑函数时要对所用的中规模组合电路的产品功能十分熟悉,才能合理地使用。 3-5 什么是竞争-冒险?产生竞争-冒险的原因是什么?如何消除竞争-冒险? 在组合逻辑电路中,当输入信号改变状态时,输出端可能出现虚假信号----过渡干扰脉冲的现象,叫做竞争冒险。门电路的输入只要有两个信号同时向相反方向变化,这两个信号经过的路径不同,到达输入端的时间有差异,其输出端就可能出现干扰脉冲。消除竞争-冒险的方法有:接入滤波电容、引入选通脉冲、修改逻辑设计。 习 题 3-1试分析图3.55所示各组合逻辑电路的逻辑功能。 解: (a)图 (1) 由逻辑图逐级写出表达式:)()(D C B A Y ⊕⊕⊕= (2) 化简与变换:

令 D C Y B A Y ⊕=⊕=21 则 21Y Y Y ⊕= (3)由表达式列出真值表,见表3.1。 输入 中间变量 中间变量 输出 A B C D Y 1 Y 2 Y 0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1 1 0 0 0 0 1 1 1 1 1 1 1 1 0 0 0 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 1 0 0 1 1 0 0 1 0 1 1 0 (4)分析逻辑功能:由真值表可知,该电路所能完成的逻辑功能是:判断四个输入端输入1的情况,当输入奇数个1时,输出为1,否则输出为0。 (b)图 (1) 由逻辑图逐级写出表达式: B A B A Y ⊕⊕⊕=(2) 化简与变换:Y=1 由此可见,无论输入是什么状态,输出均为1 3-2 试分析图3.56所示各组合逻辑电路的逻辑功能,写出函数表达式。

第4章 组合逻辑电路 课后答案

第4章 [题4.1].分析图P4.1电路的逻辑功能,写出输出的逻辑函数式,列出真值表,说明电路逻辑功能的特点。 图P4.1 B Y AP 56 P P = 图P4.2 解:(1)逻辑表达式 ()()() 5623442344 232323232323 Y P P P P P CP P P P CP P P C CP P P P C C P P P P C P PC ===+=+=++=+ 2311P P BP AP BABAAB AB AB ===+ ()()()2323Y P P C P P C AB AB C AB ABC AB AB C AB AB C ABC ABC ABC ABC =+=+++=+++=+++ (2)真值表 (3)功能 从真值表看出,这是一个三变量的奇偶检测电路,当输入变量中有偶数个1和全为0时,Y =1,否则Y=0。 [题4.3] 分析图P4.3电路的逻辑功能,写出Y 1、、Y 2的逻辑函数式,列出真值表,指出电路完成什么逻辑功能。

图P4.3 B 1 Y 2 [解] 解: 2Y AB BC AC =++ 12 Y ABC A B C Y ABC A B C AB BC AC ABC ABC ABC ABC =+++=+++++=+++()()) B 、 C 为加数、被加数和低位的进位,Y 1为“和”,Y 2为“进位”。 [题4.4] 图P4.4是对十进制数9求补的集成电路CC14561的逻辑图,写出当COMP=1、Z=0、和COMP=0、Z=0时,Y 1~Y 4的逻辑式,列出真值表。

图P4.4 [解] (1)COMP=1、Z=0时,TG 1、TG 3、TG 5导通,TG 2、TG 4、TG 6关断。 3232211 , ,A A Y A Y A Y ⊕===, 4324A A A Y ++= (2)COMP=0、Z=0时, Y 1=A 1, Y 2=A 2, Y 3=A 3, Y 4=A 4。 COMP =0、Z=0的真值表从略。 [题4.5] 用与非门设计四变量的多数表决电路。当输入变量A 、B 、C 、D 有3个或3个以上为1时输出为1,输入为其他状态时输出为0。 [解] 题4.5的真值表如表A4.5所示,逻辑图如图A4.5(b)所示。

门电路和组合逻辑电路

第十六章 门电路和组合逻辑电路 一 选择题 1、下列逻辑表达式正确的是( )。 .0A A A += .11B A ?= .C A AB A B +=+ .D A AB AB += 2、时序逻辑电路中,以下说法正确的是( )。 A 、电路中任意时刻的输出只取决于当时的输入信号,与电路原来的 状态无关。 B 、电路中任意时刻的输出不仅与当时的输入信号有关,同时还取决于 电路原来的状态。 C 、电路中任意时刻的输出只取决于电路原来的状态,与当时的输入 信号无关。 D 、以上均不正确。 3、数据选择器的地址输入端有2个时,最多可以有( )个数据信号 输入。 A 、1 B 、2 C 、4 D 、8 4、数据选择器的地址输入端有3个时,最多可以有( )个数据信号输入。 A 、4 B 、6 C 、8 D 、16 5、组合逻辑电路中,以下说法正确的是( )。 A 、电路中任意时刻的输出只取决于当时的输入信号,与电路原来的状态无关。 B 、电路中任意时刻的输出不仅与当时的输入信号有关,同时还取决于电路原来的状态。 C 、电路中任意时刻的输出只取决于电路原来的状态,与当时的输入信号无关。 D 、以上均不正确。 6、下列几种TTL 电路中,输出端可实现线与功能的电路是( )。 A 、或非门 B 、与非门 C 、异或门 D 、OC 门 7、数据选择器有10个数据信号输入端时,至少得有( )个地址输入端。 A 、2 B 、3 C 、4 D 、5 8、以下哪个电路不是组合逻辑电路( )。 A 、编码器 B 、计数器 C 、译码器 D 、加法器

9、下列逻辑表达式正确的是( )。 .0A A A += .11B A ?= .C A AB A B +=+ .D A AB AB += 10、衡量集成逻辑电路优劣的因数是用它的:( ) A .增益×带宽; B .传输延迟时间×功耗; C .扇出系数×传输延迟时间; D .噪声容限×功耗。 11、以下诸论述中,唯一正确的是:( ) A .可以用OC 门构成电平变换电路; B .ECL 门电路主要用于集成度要求高的场合; C .CM0S 器件不可以和TTL 器件兼容; D .CMOS 器件的电源电压使用范围特别小,对电源的准确性要求严格. 12、集成门电路(不论是与、或、与非…等)的输入端若超过了需要,则这些多余的输入端应按哪种方式去处置才是正确的?( ) A .让它们开路; B .让它们通过电阻接最高电平(例如电源电压); C .让它们接地,或接电源的最低电平; D .让它们和使用中的输入端并接。 13、 以下表达式中符合逻辑运算法则的是( ) A.C ·C=C 2 B.1+1=10 C.0<1 D.A+1=1 14、 当逻辑函数有n 个变量时,共有( )个变量取值组合? A. n B. 2n C. n 2 D. 2n 15、. 逻辑函数的表示方法中具有唯一性的是( ) A .真值表 B.表达式 C.逻辑图 D.卡诺图 16、F=A B +BD+CDE+A D=( ) A.D B A + B.D B A )(+ C.))((D B D A ++ D.))((D B D A ++ 二 填空题 1.电子电路按功能可分为 电路和 电路。 2.根据电路的结构特点及其对输入信号响应规则的不同,数字电路可分为 和 。 3.数字电路的分析方法主要用 、功能表、 、波形图。 4.数字信号是一系列时间和数值都 的信号。 5.在数字电路中有两种数字逻辑状态分别是逻辑 和逻辑 。 6.逻辑函数F=)(B A A ⊕⊕ =

相关主题
文本预览
相关文档 最新文档