当前位置:文档之家› 数字系统设计报告

数字系统设计报告

数字系统设计报告
数字系统设计报告

数字电路与系统设计实验报告

班级:

学号:

姓名:

地点:

批次:

时间:

一.实验目的

通过基本门电路性能测试实验使学生掌握基本门电路的工作原理、门电路的外特性(IC门电路的引脚排列顺序,输入/输出电平要求等);通过计算机仿真技术使学生掌握组合逻辑电路的设计方法,掌握触发器功能及其波形关系,掌握时序电路的设计方法,培养学生的实践动手能力和实验技能。

二.实验内容

●实验一基本逻辑门电路实验

一、基本逻辑门电路性能(参数)测试

(一)实验目的

1.掌握TTL与非门、与或非门和异或门输入与输出之间的逻辑关系。

2.熟悉TTL中、小规模集成电路的外型、管脚和使用方法。

(二)实验所用器件和仪表

l.二输入四与非门74LS00 1片

2.二输入四或非门74LS02 1片

3.二输入四异或门74LS86 1片

(三)实验内容

1.测试二输入四与非门74LS00一个与非门的输入和输出之间的逻辑关系。

2.测试二输入四或非门74LS02一个或非门的输入和输出之间的逻辑关系。

3.测试二输入四异或门74LS86一个异或门的输入和输出之间的逻辑关系。(四)实验提示

1.将被测器件插入实验台上的14芯插座中。

2.将器件的引脚7与实验台的“地(GND)”连接,将器件的引脚14与实验台的十5V连接。

3.用实验台的电平开关输出作为被测器件的输入。拨动开关,则改变器件的输入电平。

4.将被测器件的输出引脚与实验台上的电平指示灯(LED)连接。指示灯亮表示输出低电平(逻辑为0),指示灯灭表示输出高电平(逻辑为1)。

(五)实验接线图及实验结果

74LS00中包含4个二输入与非门,7402中包含4个二输入或非门,7486中包含4个二输入异或门,它们的引脚分配图见附录。下面各画出测试7400第一个逻辑门逻辑关系的接线图及测试结果。测试其它逻辑门时的接线图与之类似。测试时各器件的引脚7接地,引脚14接十5V。图中的K1、K2接电平开关输出端,LED0是电平指示灯。 1、测试74LS00逻辑关系

2、测试74LS28逻辑关系

3、测试74LS86逻辑关系

输 入输 出引脚1

引脚3

引脚2LED0

L

L L L H H H

H

图1.1 测试74LS00逻辑关系接线图

表1.1 74LS00真值表

输 入输 出引脚2

引脚1

引脚3K1K2

L

L L L H H H

H

图1.2 测试74LS28逻辑关系接线图

表1.2 74LS28真值表

二 、 TTL 、HC 和HCT 器件的电压传输特性

(一)、实验目的

1.掌握TTL 、HCT 和 HC 器件的传输特性。 2.掌握万用表的使用方法。 (二)、实验所用器件和仪表 1.六反相器74LS04片 2.六反相器74HC04片 3.六反相器74HCT04片 4.万用表 (三)、实验说明

与非门的输出电压Vo 与输入电压Vi 的关系Vo=f (Vi )叫做电压传输特性,也称电压转移特性。它可以用一条曲线表示,叫做电压传输特性曲线。从传输特性曲线可以求出非门的下列有用参数: .输出高电平(VOH) .输出低电平(VOL)

.输入高电平(VIH) .输入低电平(VIL) .门槛电压 (VT)

输 入输 出引脚1

引脚3

引脚2K1K2

12

3

LED0

L

L L L H H H

H

图1.3 测试74LS86逻辑关系接线图

表1.3 74LS86真值表

(四)、实验内容

1.测试TTL器件74LS04一个非门的传输特性。

2.测试HC器件74HC04一个非门的传输特性。

3.测试HCT器件74HCT04一个非门的传输特性。

(五)、实验提示

1.注意被测器件的引脚7和引脚14分别接地和十5V。

2.将实验台上4.7KΩ电位器RTL的电压输出端连接到被测非门的输入端,RTL的输出端电压作为被测非门的输入电压。旋转电位器改变非门的输入电压值。

3.按步长V调整非门输入电压。首先用万用表监视非门输入电压,调好输入电压后,用万用表测量非门的输出电压,并记录下来。

(六)、实验接线图及实验结果

1.实验接线图

由于74LS04、74HC04和74HCT04的逻辑功能相同,因此三个实验的接线图是一样的。下面以第一个逻辑门为例,画出实验接线图(电压表表示电压测试点)如下

+5V

图1.4 实验二接图

2.输出无负载时74LS04、74HC04、74HCT04电压传输特性测试数据

3.按测试结果给出输出无负载时74LS04、74HC04和74HCT04电压传输特性曲线,并与下图比较。

4.比较三条电压传输特性曲线,说明各自的特点。

尽管只对三个芯片在输出无负载情况下进行了电压传输特性测试,但是从图、图和图所示的三条电压传输特性曲线仍可以得出下列观点:

(1)74LS 芯片的最大输入低电平V IL低于74HC 芯片的最大输入低电平V IL,

74LS 芯片的最小输入高电平VIH低于74HC 芯片的最小输出高电平VIH。 (2)74LS 芯片的最大输入低电平VIL、最小输入高电平VIH与74HCT 芯片的最大输入低电平VIL、 最小输出高电平VIH相同。

(3)74LS 芯片的最大输出低电平VOL高于74HC 芯片和74HCT 芯片的最大输出低电平VOL。74LS 芯片的最小输出高电平VOH低于74HC 芯片和74HCT 芯片的最小输出高电平VOH。

(4)74HC 芯片的最大输出低电平 VOL、最小输出高电平 VOH与 74HCT 芯片的最大输出低电平VOL、最小输出高电平VOH相同。

5.在暂时不考虑输出负载能力的情况下,从上述观点可以得出下面的推论: (1)74H CT 芯片和74HC 芯片的输出能够作为 74LS 芯片的输入使用。

图1.5 74LS04电压传输特性曲线

12345V I

(V)

12345Vo(V)V I (V)

图1.6 74HC04电压传输特性曲线

图1.7 74HCT04电压传输特性曲线

1

2345Vo(V)V I (V)

(2)74LS 芯片的输出能够作为74HCT 芯片的输入使用。

实际上,在考虑输出负载能力的情况下,上述的推论也是正确的。应当指出,虽然在教科书中和各种器件资料中,74LS 芯片的输出作为74HC 芯片的输入使用时,推荐的方法是在74LS 芯片的输出和十5V电源之间接一个几千欧的上拉电阻,但是由于对74LS 芯片而言,一个74HC 输入只是一个很小的负载,74LS 芯片的输出高电平一般在3.5V ~之间,因此在大多数的应用中,74LS 芯片的输出也可以直接作为74HC 芯片的输入。

三、逻辑门控制电路

1) 用与非门和异或门安装如图(a )所示的电路。检验它的真值表。

2)用3个三输入端与非门IC 芯片74LS10安装如图1.9所示的电路。

从实验台上的时钟脉冲输出端口选择两个不同频率(约 7khz 和 14khz )的脉冲信号分别加到X0和X1端。对应 B和 S 端数字信号的所有可能组合,观察并画出输出端的波形,并由此得出S和B(及/B)的功能。

A

B C Y

控制输入端(a)

(b)

图1.8 (a)多重控制门,(b)真值表

B

B

S

选通选择线X 0

实验二组合逻辑电路部件实验

实验目的:掌握逻辑电路设计的基本方法

掌握EDA工具MAX-PlusII的原理图输入方法

掌握MAX-PlusII的逻辑电路编译、波形仿真的方法

(一)逻辑单元电路的波形仿真

利用EDA工具MAX-PlusII的原理图输入法,分别输入74138、7483图元符号;建立74138、7483的仿真波形文件,并进行波形仿真,记录波形;

分析74138、7483逻辑关系。

1.3-8译码器74138的波形仿真

2.4位二进制加法器7483的波形仿真

4位二进制加法器集成电路74LS83中,A和B是两个4位二进制数

的输入端,C out,S3,S2,S1,S0是5位输出端。C in是进位输入端,而C out是

进位输出端。

(二)简单逻辑电路设计

利用EDA工具MAX-PlusII的原理图输入法,输入设计的电路图;

建立相应仿真波形文件,并进行波形仿真,记录波形和输入与输出的时延差;分析设计电路的正确性。

1.设计一个2-4译码器

输入输出

E A1A2Q0Q1Q2Q3

1ΦΦ1111

0000111 011011 101101 111110

E为允许使能输入线,A1、A2为译码器输入,Q0、Q1、Q2、Q3分别为输出。

2.设计并实现一个4位二进制全加器

(1)二进制全加器原理:对两个n位二进制改作加法运算的数字电路是由一个半加器和(n-1)个全加器组成。它把两个n位二进制数作为输入信号。产生一个(n+1)位二进制数作它的和。一个n位二进制加法器的方框图如图所示。图中A和B是用来相加的两n位输入信号,Cn-1,Sn-1,Sn-2,······S2,S1,S0是它们的和。在该电路中方对A0和B0相加是用一个半加器,对其它位都用全加器。如果需要串接这些电路以增加相加的位数,那么它的第一级也必须是一个全加器。图4.4表示都用全加器实现的加法器电路。

(2)实验步骤:

①设计1位二进制全加器,逻辑表达式如下:

S n=A n⊕B n⊕C n-1

C n= A n·B n+C n-1(A n⊕B n)

A n是被加数,

B n是加数,S n是和数,

C n是向高位的进位,C n-1是低位

的进位。

②利用1位二进制全加器构成一个4位二进制全加器

3.交叉口通行灯逻辑问题的实现

图表示一条主干公路(东一面)与一条二级道路的交叉点。车辆探测器沿着A、B、C和D线放置。当没有发现车辆时,这些敏感组件的输出为低电平‘0”。当发现有车辆时,输出为高电平“1”。交叉口通行灯根据下列逻辑关系控制:

(a)东一西灯任何时候都是绿的条件

(l)C和D线均被占用;

(2)没有发现车辆;

(3)当A、B线没同的占用时,

C或D任一条线被占用;南

(b)南一北灯任问时候都是绿的条件

(1)A和B线均被占用,而C和D线均未占用或只占用一条线;

(2)当C和D均未被占用时,A或B任一条线被占用。

有两个输出端,南北和东西,输出高电平对应绿灯亮,输出低电平对应红灯亮。

用敏感组件的输出作为逻辑电路输入信号,对所给的逻辑状态建立一个真值表,化简后得最简逻辑表达式,用与非门实现该电路、并用波形仿真设计电路的功能,分析其正确性之。

4.设计一个7位奇/偶校验器

奇/偶校验代码是在计算机中常用的一种可靠性代码。它由信息码和一位附加位——奇/偶校验位组成。这位校验位的取值(0或1)将使整个代码串中的1的个数为奇数(奇校验代码)或为偶数(偶校验代码)。 (1) 奇/偶校验位发生器

(a )奇/偶校验位发生器就是根据输入信息码产生相应的校验位。奇校验位定义是指当输入信息码中1的数目为奇数个时,奇校验位为0;当输入信息码中“1”的数目为偶数个时,奇校验位为1;使得信息码与奇校验位中“1”的总数目为奇数个,偶校验位的定义则相反。图是4位信息码的奇校验位发生器电路。它

是基于“异或”门的“相同得0、相异得1”原理设计的。

图 P

X3X1X2B8

B4B2B11

据图可推知:当B 8B 4B 2B 1中的1的个数为偶数时此奇校验位发生器输出的校

验位P 为1,反之为0。

(b) 设计一个7位二进制代码分别为a0、a1、a2、a3、a4、a5、a6的奇/偶校验位发生器,奇校验位为P ,偶校验位为E 。逻辑表达式如下:

P=a0⊕a1⊕a2⊕a3⊕a4⊕a5⊕a6 E= P 。

(2) 奇/偶校验代码校验器

(a)奇/偶校验器用于校验奇(偶)校验代码在传送和存储中有否出现差错,它具有发现所有奇数个位数错的能力。

所谓奇校验器,即:若输入代码中1的个数为奇数,则输出1(表示正常),反之输出0。

所谓偶校验器,即:若输入代码中1的个数为偶数,则输出1(表示正常),反之输出0。

(b) 设计一个8位二进制代码分别为a0、a1、a2、a3、a4、a5、a6、 p 的奇校验器。逻辑表达式如下:

S= a0⊕a1⊕a2⊕a3⊕a4⊕a5⊕a6⊕P

显然,当校验器的输入代码a0a1a2a3a4a5a6 p 中1的个数为奇数时,校验器的输出S 为1、反之S 为0。

5. 设计一个四选一单元(数据选择器)电路FD86

数据选择器又称输入多路选择器、多路开关。它的功能是在选择信号的控制下,从若干路输入数据中选择某一路输入数据作为输出。

E 是选通使能端,A1、

A0分别是选择信号端,D0、D1、D2、D3分别是四路数据,F 是输出端。

6. 设计一个1:4数据分配器FD93

Y0

D C C Yo ??=01

D C C Y ??=011

E

C0 Y2 D C C Y ??=012

C1 Y3

D C C Y ??=013

功能表如下: 输入端

输出端 G S1 S0 D Y0 Y1 Y2 Y3 1 Φ Φ Φ 1 1 1 1 0 O O D D 1 1 1 0 0 1 D 1 D 1 1 0 1 0 D 1 1 D 1 0

1

1

D

1

1

1

D

G 是选通使能端,S1、S0分别是选择端,D 是一路输入数据,Y0、Y1、Y2、Y3分别是选择的输出。

7. 设计并实现2位二进制数字比较器

功能描述:比较A 1A 0和B 1B 0两个2位数:

当A 1A 0=B 1B 0时,电路输出端E=1,其它情况时E=0; 当A 1A 0>B 1B 0时,电路输出端L=1, 其它情况时L=0; 当A 1A 0<B 1B 0时,电路输出端S=1, 其它情况时S=0; 并进行波形仿真。

实验三 时序电路设计

(一)触发器实验

实验目的

1.掌握RS 触发器、D 触发器、JK 触发器的工作原理。 2.学会正确使用RS 触发器、D 触发器、JK 触发器。 实验内容

1. 用 74LS00构成一个 RS 触发器。给出R 、S 波形序列,进行波形仿真,说明RS 触发器的功能。

2.D触发器DFF(或双D触发器74LS74中一个D触发器)功能测试。

D触发器的输入端口CLR是复位或清零,PRN是(置位);给定D (数据)、CLK(时钟)波形序列,进行波形仿真,记录输入与输出Q

波形。说明D触发器是电平触发还是上升沿触发,分析原因。

3.JK触发器JKFF(或双JK触发器74LS73、74LS76中一个JK触发器)功

能测试与分析。

JK触发器输入端口CLR是复位端,PRN是置位端,CLKS是时钟。给出CK,J,K的波形,仿真JK触发器的功能,说明JK触发器的CLK何时

有效。

D触发器74LS74是上升沿触发,JK触发器74LS73是下降沿触发。

(二)简单时序电路设计实验

实验目的

学习利用EDA工具设计简单时序电路。

掌握简单时序电路的分析、设计、波形仿真、器件编程及测试方法实验内容

1.用D触发器(或74LS74)构成的4位二进制计数器(分频器)

1)建立波形文件,对所设计电路进行波形仿真。并记录Q0、Q1、Q2、

Q3的状态。

2)对所设计电路进行器件编程。将CLK引脚连接到实验系统的单脉

冲输出插孔,4位二进制计数器输出端Q0、Q1、Q2、Q3连接到LED

显示灯,CLR、PRN端分别连接到实验系统两个开关的输出插孔。

3)由时钟CLK输入单脉冲,记录输入的脉冲数,同时观测Q0、Q1、

Q2、Q3对应LED显示灯的变化情况。

2.异步计数器

异步计数器是指输入时钟信号只作用于计数单元中的最低位触发器,各触发器之间相互串行,由低一位触发器的输出逐个向高一位触发器传递进位信号而使得触发器逐级翻转,所以前级状态的变化是下级变化的条件,

只有低位触发器翻转后才能产生进位信号使高位触发器翻转。

1)计数器单元电路仿真P62

a)用74LS93构成一个2位十六进制计数器,并进行波形仿真。

b)用74LS90构成一个2位BCD码计数器,并进行波形仿真。

2)设计异步十进制计数器

a)用JK触发器(或双JK触发器74LS73、7476)构成1位十进制计

数器(或BCD计数器)

74LS76图、释戴p55

b)对所设计的计数器,建立相应波形文件,进行波形仿真。并记录

计数值Q0、Q1、Q2、Q3的状态

c)对设计的计数器进行器件编程、连线,由时钟端CLK输入单脉冲,

测试并记录 Q 0、Q 1、Q 2、Q 3的状态变化,验证设计电路的正确性。

3.移位寄存器(P57)

移位寄存器一种能寄存二进制代码,并能在时钟控制下对代码进行右移或左移的同步时序电路。计算机执行四则运算和逻辑移位等指令少不了移位寄存器,此外,移位寄存器还可用于计算机的串行传输口的串并行信息转换电路。

1) 集成移位寄存器波形仿真

a) 74LS95(4位)并/串输入,并行输出,双向移位(P59)

b) 74LS165(8位)并行输入,串行输出,(TTL-P212) 74LS165图、释(TTL 手册-P212)

2) 用JK 触发器设计一个4位串行输入,并行输出右移寄存器, 针对所设计电路建立相应的波形仿真文件,进行波形仿真,器件编程,验证所设计电路的正确性。

3) 用JK 触发器设计4位并行输入,串行输出右移寄存器

对所设计的4位右移寄存器建立相应波形仿真文件,进行波形仿真。 4.自循环寄存器

串行输入端模式控制时钟12

M

A

B

C

D

时钟

Q A Q B Q C Q D

并行输出端图10.3 74LS95移位寄存器

(1)用D 触发器DFF (或74LS74)构成一个四位自循环寄存器。

方法是第一级的 Q 端接第二级的 D 端, 依次类推,最后第四级的Q 端接第一级的D 端。四个D 触发器的CLK 端连接在一起,然后接单脉冲时钟。

(2)对设计的电路建立相应的波形仿真文件,进行波形仿真。

将触发器Q 0置1(即PRN0输入一个负脉冲), Q 1、Q 2、Q 3清0(即CLR1、CLR2、CLR3输入一个负脉冲)。

(3)进行器件编程(定义自循环寄存器的输入/输出引脚号)。 (4)连线验证所设计电路的正确性

预置初始状态(与波形仿真相同),自循环寄存器的PRN i 和CLR i 端连接到开关的电平输出插空,输入端CLK 引脚连接到实验系统的单脉冲输出插孔,输出端Q 0、Q 1、Q 2、Q 3连接到LED 显示灯。由时钟CLK 输入端输入单脉冲,观察并记录Q 0、Q 1、Q 2、Q 3的状态变化。

5.同步计数器P65

所谓同步计数器是指计数器中各触发器统一使用同一输入输入时钟脉冲(计数脉冲)信号,在同一时刻所有触发器同时翻转并产生进位信号。

(1) 用74LS191构成一个2位十六进制计数器,并进行波形仿真。

ENABLE CK D/U

Q Q Q 输出

予置输入

(a)

(b)

图12.2 74LS191的框图(a )和功能表(b )

Q MSB

(2)用74LS160构成一个2位BCD 码计数器,并进行波形仿真。

6. 节拍电位发生器FD125

节拍发生器用来产生计算机工作所需的节拍电位、节拍脉冲。节拍发生器常分为移位型和计数型。 (1)

设计移位型节拍发生器(74194,DFF)

移位型节拍发生器采用移位寄存器结构,它产生的节拍各数不受限制,使用比较灵活。图是一个由四位移位寄存器集成电路74LS194和一个

CK Q Q Q A B C P Vcc GND

输出

(a)

(b)

图12.1 74LS160,74LS161的(a )方框图;(b )功能表

Q

D 触发器构成的节拍发生器,可产生W0~W4五个节拍电位。

(2)

设计计数型节拍发生器FD126

计数型节拍发生器由计数器和译码器构成,n 位计数器能产生2n 个节拍。图是一个在2位计数器基础上构成的节拍发生器,能产生W1~W4四个节拍电位和M1~M4四个与主脉冲CLK 同步的节拍脉冲。

7.代码发生器FD129

Cp2D2R2

Q2

Q2

Cp1

D1R1

Q1Q1

CLK

M4CLK

M3M2M1

W4

W1

W2W3

数字系统设计试卷2012A卷

中国矿业大学2012~2013学年第一学期 《数字系统设计基础》试卷(A)卷 考试时间:100 分钟考试方式:闭卷 学院_________班级_____________姓名___________学号____________ 一、选择题(20分,每题2分) 1.不完整的IF语句,其综合结果可实现:_________ A. 三态控制电路 B. 条件相或的逻辑电路 C. 双向控制电路 D. 时序逻辑电路 2.关于进程语句说法错误的是_________ A. PROCESS为一无限循环语句(执行状态、等待状态) B. PROCESS中的顺序语句具有明显的顺序/并行运行双重性 C. 进程必须由敏感信号的变化来启动 D. 变量是多个进程间的通信线 3、对于VHDL以下几种说法错误的是___________ A. VHDL程序中的实体部分是对元件和外部电路之间的接口进行的描述,可以看成是定义 元件的引脚 B. 一个完整的VHDL程序总是由库说明部分、实体和结构体等三部分构成 C. VHDL程序中是区分大小写的 D.结构体描述元件内部结构和逻辑功能 4.一个设计实体(电路模块)包括实体与结构体两部分,实体描述___________。 A. 实体与结构体之间的连接关系; B. 器件的内部功能; C. 实体使用的库文件; D. 器件外部可见特性如端口的数目、方向等 5. 组合逻辑电路中的毛刺信号是由于______引起的。 A. 电路中存在延迟 B.电路不是最简 C. 电路有多个输出 D.电路中使用不同的门电路 6. 下列关于临界路径说法正确的是___________ A. 临界路径与系统的工作速度无关 B. 临界路径减小有助于缩小电路规模 C. 临界路径减小有助于降低功耗 D. 临界路径是从系统输入到输出的各条路径中信号通过时间最长的那条路径 7. 关于FPGA和CPLD的区别说法正确的是___________ A. CPLD 更适合完成各种算法和组合逻辑,FPGA 更适合于完成时序逻辑

数字系统设计技术实验指导书

Experiment 2 Designing Number Comparer 实验目的: 熟悉QuartusII 的开发环境 熟练掌握编程开发流程 学习VHDL 的基本语法 学习VHDL 编程设计 实验内容:数值比较器设计 实验要求:熟练掌握QuartusII 开发环境下对可编程逻辑器件进行程序化设计的整套流程 设计输入使用插入模板 (Insert Template ) 在QuartusII 开发环境下对设计程序进行时序仿真 将生成的配置文件下载到实验板,进行最终的实物测试验证 实验原理:根据两位二进制数的大小得到对应的比较结果,其电路示意图及电路特性表为: 比较器特性表 比较器电路示意图 实验报告内容要求: (1) 实验目的; (2) 实验内容; (3) 实验要求; (4) 实验原理; (5) 程序编写; (6) 程序编译(首先选择器件具体型号); (7) 功能仿真和芯片时序仿真; (8) 芯片引脚设定; (9) 适配下载结果及结论。 Number Comparer A(3..0) B(3..0) In_s In_l In_e Yl Ye Ys Y

Experiment 3 Designing 8 to1-Multiplxer 实验目的:熟悉QuartusII的开发环境 熟练掌握编程开发流程 学习VHDL的基本语法 学习VHDL编程设计 实验内容:八选一数据选择器设计。 实验要求:熟练掌握QuartusII开发环境下对可编程逻辑器件进行程序化设计的整套流程设计输入使用插入模板(Insert Template) 在QuartusII开发环境下对设计程序进行时序仿真 将生成的配置文件下载到实验板,进行最终的实物测试验证 实验原理: 电路功能表及其电路外部符号如下: 电路功能表 实验报告内容要求: (1)实验目的; (2)实验内容; (3)实验要求; (4)实验原理; (5)程序编写; (6)程序编译(首先选择器件具体型号); (7)功能仿真和芯片时序仿真; (8)芯片引脚设定; (9)适配下载结果及结论。

fpga数字钟课程设计报告

f p g a数字钟课程设计报告 Prepared on 24 November 2020

课程设计报告 设计题目:基于FPGA的数字钟设计 班级:电子信息工程1301 姓名:王一丁 指导教师:李世平 设计时间:2016年1月 摘要 EDA(Electronic Design Automation)电子设计自动化,是以大规模可编程器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,通过相关的软件,自动完成软件方式设计得电子系统到硬件系统,最终形成集成电子系统或专用集成芯片。本次课程设计利用Quartus II 为设计软件,VHDL为硬件描述语言,结合所学知识设计一个多功能时钟,具有显示年、月、日、时、分、秒显示,计时,整点报时,设定时间等功能。利用硬件描述语言VHDL 对设计系统的各个子模块进行逻辑描述,采用模块化的思想完成顶层模块的设计,通过软件编译、逻辑化简、逻辑综合优化、逻辑仿真、最终完成本次课程设计的任务。 关键词:EDA VHDL语言数字钟 目录 摘要 1 课程设计目的 2 课程设计内容及要求

设计任务 设计要求 3 VHDL程序设计 方案论证 系统结构框图 设计思路与方法 状态控制模块 时分秒模块 年月日模块 显示模块 扬声器与闹钟模块 RTL整体电路 4 系统仿真与分析 5 课程设计总结,包括.收获、体会和建议 6 参考文献 1 课程设计目的 (1)通过设计数字钟熟练掌握EDA软件(QUARTUS II)的使用方法,熟练进行设计、编译,为以后实际工程问题打下设计基础。 (2)熟悉VHDL 硬件描述语言,提升分析、寻找和排除电子设计中常见故障的能力。 (3)通过课程设计,锻炼书写有理论根据的、实事求是的、文理通顺的课程设计报告。

verilog数字系统设计教程习题答案

verilog 数字系统设计教程习题答案第二章 HDL 既是一种行为描述语言,也是一种结构描述语言。如果按照一定的规则和风格编写代码,就可以将功能行为模块通过工具自动转化为门级互联的结构模块。这意味着利用Verilog 语言所提供的功能,就可以构造一个模块间的清晰结构来描述复杂的大型设计,并对所需的逻辑电路进行严格的设计。 2.模块的基本结构由关键词module和endmodule构成。 3.一个复杂电路系统的完整Verilog HDL 模型是由若干个Verilog HDL模块构成的,每一个模块又可以由若干个子模块构成。其中有些模块需要综合成具体电路,而有些模块只是与用户所设计的模块交互的现存电路或激励信号源。利用Verilog HDL语言结构所提供的这种功能就可以构造一个模块间的清晰层次结构来描述极其复杂的大型设计,并对所作设计的逻辑电路进行严格的验证。 HDL和VHDL乍为描述硬件电路设计的语言,其共同的特点在于:能形式化地抽象表示电路的结构和行为、支持逻辑设计中层次与领域的描述、可借用高级语言的精巧结构来简化电路的描述、具有电路仿真与验证机制以保证设计的正确性、支持电路描述由高层到低层的综合转换、硬件描述与实现工艺无关(有关工艺参数可通过语言提供的属性包括进去)、便于文档管理、易于理解和设计重用。 5.不是

6.将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程。 7.综合工具可以把HDL变成门级网表。这方面Synopsys工具占有较大的优势,它的Design Compile 是作为一个综合的工业标准,它还有另外一个产品叫Behavior Compiler ,可以提供更高级的综合。 另外最近美国又出了一个软件叫Ambit ,据说比Synopsys 的软件更有效,可以综合50万门的电路,速度更快。今年初Ambit 被Cadence 公司收购,为此Cade nee放弃了它原来的综合软件Syn ergy。随着FPGA 设计的规模越来越大,各EDA公司又开发了用于FPGA设计的综合软件,比较有名的有:Sy nopsys 的FPGAExpress,Cade nee 的Syn plity ,Mentor的Leonardo,这三家的FPGA综合软件占了市场的绝大部分。 8.整个综合过程就是将设计者在EDA平台上编辑输入的HDL文本、原理图或状态图形描述,依据给定的硬件结构组件和约束控制条件 进行编译、优化、转换和综合,最终获得门级电路甚至更底层的电路描述网表文件。用于适配,适配将由综合器产生的网表文件配置于指定的目标器件中,使之产生最终的下载文件,如JEDEC Jam格式的 文件 9.在FPGA设计中,仿真一般分为功能仿真(前仿真)和时序仿真(后仿真)。功能仿真又叫逻辑仿真,是指在不考虑器件延时和布线延时的理想情况下对源代码进行逻辑功能的验证;而时序仿真是在布局布线后进行,它与

数字钟设计报告——数字电路实验报告

. 数字钟设计实验报告 专业:通信工程 :王婧 班级:111041B 学号:111041226 .

数字钟的设计 目录 一、前言 (3) 二、设计目的 (3) 三、设计任务 (3) 四、设计方案 (3) 五、数字钟电路设计原理 (4) (一)设计步骤 (4) (二)数字钟的构成 (4) (三)数字钟的工作原理 (5) 六、总结 (9) 1

一、前言 此次实验是第一次做EDA实验,在学习使用软硬件的过程中,自然遇到很多不懂的问题,在老师的指导和同学们的相互帮助下,我终于解决了实验过程遇到的很多难题,成功的完成了实验,实验结果和预期的结果也是一致的,在这次实验中,我学会了如何使用Quartus II软件,如何分层设计点路,如何对实验程序进行编译和仿真和对程序进行硬件测试。明白了一定要学会看开发板资料以清楚如何给程序的输入输出信号配置管脚。这次实验为我今后对 EDA的进一步学习奠定了更好的理论基础和应用基础。 通过本次实验对数电知识有了更深入的了解,将其运用到了实际中来,明白了学习电子技术基础的意义,也达到了其培养的目的。也明白了一个道理:成功就是在不断摸索中前进实现的,遇到问题我们不能灰心、烦躁,甚至放弃,而要静下心来仔细思考,分部检查,找出最终的原因进行改正,这样才会有进步,才会一步步向自己的目标靠近,才会取得自己所要追求的成功。 2

二、设计目的 1.掌握数字钟的设计方法。 2熟悉集成电路的使用方法。 3通过实训学会数字系统的设计方法; 4通过实训学习元器件的选择及集成电路手册查询方法; 5通过实训掌握电子电路调试及故障排除方法; 6熟悉数字实验箱的使用方法。 三、设计任务 设计一个可以显示星期、时、分、秒的数字钟。 要求: 1、24小时为一个计数周期; 2、具有整点报时功能; 3、定时闹铃(未完成) 四、设计方案 一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器和定时器组成。干电路系统由秒信号发生器、“时、 3

数字电子钟课程设计实验报告

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计任务书2016/2017 学年第一学期 学生姓名:张涛学号: 李子鹏学号: 课程设计题目:数字电子钟的设计 起迄日期:2017年1月4日~2017年7月10日 课程设计地点:科学楼 指导教师:姚爱琴 2017年月日 课程设计任务书

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计开题报告2016/2017 学年第一学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号:

指导教师:姚爱琴 2017 年 1 月 6 日 中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计说明书2016/2017 学年第二学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号: 指导教师:姚爱琴 2017 年月日

目录 1 引言 (6) 2 数字电子钟设计方案 (6) 2.1 数字计时器的设计思想 (6) 2.2数字电路设计及元器件参数选择 (6) 2.2.2 时、分、秒计数器 (7) 2.2.3 计数显示电路 (8) 2.2.5 整点报时电路 (10) 2.2.6 总体电路 (10) 2.3 安装与调试 (11) 2.3.1 数字电子钟PCB图 (11) 3 设计单元原理说明 (11) 3.1 555定时器原理 (12) 3.2 计数器原理 (12) 3.3 译码和数码显示电路原理 (12) 3.4 校时电路原理 (12) 4 心得与体会 (12) 1 引言 数字钟是一种用数字电子技术实现时,分,秒计时的装置,具有较高的准确性和直观性等各方面的优势,而得到广泛的应用。此次设计数字电子钟是为了了解数字钟的原理,在设计数字电子钟的过程中,用数字电子技术的理论和制作实践相结合,进一步加深数字电子技术课程知识的理解和应用,同时学会使用Multisim电子设计软件。 2数字电子钟设计方案 2.1 数字计时器的设计思想 要想构成数字钟,首先应选择一个脉冲源——能自动地产生稳定的标准时间脉冲信号。而脉冲源产生的脉冲信号地频率较高,因此,需要进行分频,使得高频脉冲信号变成适合于计时的低频脉冲信号,即“秒脉冲信号”(频率为1Hz)。经过分频器输出的秒脉冲信号到计数器中进行计数。由于计时的规律是:60秒=1分,60分=1小时,24小时=1天,就需要分别设计60进制,24进制计数器,并发出驱动信号。各计数器输出信号经译码器、驱动器到数字显示器,是“时”、“分”、“秒”得以数字显示出来。 值得注意的是:任何记时装置都有误差,因此应考虑校准时间电路。校时电路一般

数字钟设计报告——数字电路实验报告

数字钟设计实验报告 专业:通信工程 姓名:王婧 班级:111041B 学号:111041226

数字钟的设计 目录 一、前言 (3) 二、设计目的 (3) 三、设计任务 (3) 四、设计方案 (3) 五、数字钟电路设计原理 (4) (一)设计步骤 (4) (二)数字钟的构成 (4) (三)数字钟的工作原理 (5) 六、总结 (9) 1

一、前言 此次实验是第一次做EDA实验,在学习使用软硬件的过程中,自然遇到很多不懂的问题,在老师的指导和同学们的相互帮助下,我终于解决了实验过程遇到的很多难题,成功的完成了实验,实验结果和预期的结果也是一致的,在这次实验中,我学会了如何使用Quartus II软件,如何分层设计点路,如何对实验程序进行编译和仿真和对程序进行硬件测试。明白了一定要学会看开发板资料以清楚如何给程序的输入输出信号配置管脚。这次实验为我今后对 EDA的进一步学习奠定了更好的理论基础和应用基础。 通过本次实验对数电知识有了更深入的了解,将其运用到了实际中来,明白了学习电子技术基础的意义,也达到了其培养的目的。也明白了一个道理:成功就是在不断摸索中前进实现的,遇到问题我们不能灰心、烦躁,甚至放弃,而要静下心来仔细思考,分部检查,找出最终的原因进行改正,这样才会有进步,才会一步步向自己的目标靠近,才会取得自己所要追求的成功。 2

二、设计目的 1.掌握数字钟的设计方法。 2熟悉集成电路的使用方法。 3通过实训学会数字系统的设计方法; 4通过实训学习元器件的选择及集成电路手册查询方法; 5通过实训掌握电子电路调试及故障排除方法; 6熟悉数字实验箱的使用方法。 三、设计任务 设计一个可以显示星期、时、分、秒的数字钟。 要求: 1、24小时为一个计数周期; 2、具有整点报时功能; 3、定时闹铃(未完成) 四、设计方案 一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器和定时器组成。干电路系统由秒信号发生 3

《verilog_数字系统设计课程》(第二版)思考题答案

Verilog数字系统设计教程思考题答案 绪论 1.什么是信号处理电路?它通常由哪两大部分组成? 信号处理电路是进行一些复杂的数字运算和数据处理,并且又有实时响应要求的电路。它通常有高速数据通道接口和高速算法电路两大部分组成。 2.为什么要设计专用的信号处理电路? 因为有的数字信号处理对时间的要求非常苛刻,以至于用高速的通用处理器也无法在规定的时间内完成必要的运算。通用微处理器芯片是为一般目的而设计的,运算的步骤必须通过程序编译后生成的机器码指令加载到存储器中,然后在微处理器芯片控制下,按时钟的节拍,逐条取出指令分析指令和执行指令,直到程序的结束。微处理器芯片中的内部总线和运算部件也是为通用目的而设计,即使是专为信号处理而设计的通用微处理器,因为它的通用性也不可能为某一特殊的算法来设计一系列的专用的运算电路而且其内部总线的宽度也不能随便的改变,只有通过改变程序,才能实现这个特殊的算法,因而其算法速度也受到限制所以要设计专用的信号处理电路。 3.什么是实时处理系统? 实时处理系统是具有实时响应的处理系统。 4.为什么要用硬件描述语言来设计复杂的算法逻辑电路? 因为现代复杂数字逻辑系统的设计都是借助于EDA工具完成的,无论电路系统的仿真和综合都需要掌握硬件描述语言。 5.能不能完全用C语言来代替硬件描述语言进行算法逻辑电路的设计? 不能,因为基础算法的描述和验证通常用C语言来做。如果要设计一个专用的电路来进行这种对速度有要求的实时数据处理,除了以上C语言外,还须编写硬件描述语言程序进行仿真以便从电路结构上保证算法能在规定的时间内完成,并能通过与前端和后端的设备接口正确无误地交换数据。 6.为什么在算法逻辑电路的设计中需要用C语言和硬件描述语言配合使用来提 高设计效率? 首先C语言很灵活,查错功能强,还可以通过PLI编写自己的系统任务,并直接与硬件仿真器结合使用。C语言是目前世界上应用最为广泛的一种编程语言,因而C程序的设计环境比Verilog HDL更完整,此外,C语言有可靠地编译环境,语法完备,缺陷缺少,应用于许多的领域。比较起来,Verilog语言只是针对硬件描述的,在别处使用并不方便。而用Verilog的仿真,综合,查错等大部分软件都是商业软件,与C语言相比缺乏长期大量的使用,可靠性较差,亦有很多缺陷。所以只有在C语言的配合使用下,Verilog才能更好地发挥作用。C 语言与Verilog HDL语言相辅相成,互相配合使用。这就是即利用C语言的完整性又要结合Verilog对硬件描述的精确性,来更快更好地设计出符合性能要求的

大连理工大学数字电路课程设计报告:多功能数字时钟设计

大连理工大学本科实验报告题目:多功能数字时钟设计 课程名称:数字电路与系统课程设计 学院(系):信息与通信工程学院 专业:电子信息工程 班级: 学生姓名: 学号: 完成日期:2014年7月16日 2014 年7 月16 日

题目:多功能数字时钟设计 1 设计要求 1) 具有“时”、“分”、“秒”及“模式”的十进制数字显示功能; 2) 具有手动校时、校分功能,并能快速调节、一键复位(复位时间12时00分00秒); 3) 具有整点报时功能,从00分00秒起,亮灯十秒钟; 4) 具有秒表功能(精确至百分之一秒),具有开关键,可暂停、可一键清零; 5) 具有闹钟功能,手动设置时间,并可快速调节,具有开关键,可一键复位(复位时间12时00分00秒),闹钟时间到亮灯十秒钟进行提醒; 6) 具有倒计时功能(精确至百分之一秒),可手动设置倒计时时间,若无输入,系统默认60秒倒计时,且具有开关键,计时时间到亮灯十秒钟进行提醒,可一键复位(复位时间默认60秒)。 2 设计分析及系统方案设计 2.1 模式选择模块:按键一进行模式选择,并利用数码管显示出当前模式。模式一:时钟显示功能;模式二:时钟调节功能;模式三:闹钟功能;模式四:秒表功能;模式五:倒计时功能。 2.2 数字钟的基本功能部分:包括时、分、秒的显示,手动调时,以及整点报时部分。基本模块是由振荡器、分频器、计数器、译码器、显示器等几部分组成。利用DE2硬件中提供的50MHZ晶振,经过分频得到周期为1s的时钟脉冲。将该信号送入计数器进行计算,并把累加结果以“时”“分”“秒”的形式通过译码器由数码管显示出来。 具有复位按键1,在时钟模式下按下复位键后对时钟进行复位,复位时间12时00分00秒。 进入手动调时功能时,通过按键调节时间,每按下依次按键2,时钟时针加一,按下按键2一秒内未松手,时钟时针每秒钟加十;按键1对分针进行控制,原理与时针相同并通过译码器由七位数码管显示。 从00分00秒开始,数字钟进入整点报时功能(本设计中以一个LED灯代替蜂鸣器,进行报时),亮灯10秒钟进行提示。 2.3多功能数字钟的秒表功能部分:计时范围从00分00.00秒至59分59.99秒。可由复位键0异步清零,并由开关1控制计时开始与停止。 将DE2硬件中的50MHZ晶振经过分频获得周期为0.01秒的时钟脉冲,将信号送入计数器进行计算,并把累计结果通过译码器由七位数码管显示 2.4多功能数字钟的闹钟功能部分:进入闹钟功能模式后,通过按键2(设定小时)和按键1(设定分钟)设定闹钟时间,当按下按键一秒内未松手时,可进行快速设定时间。当时钟进入闹钟设定的时间(判断时钟的时信号时针,分针分别与闹钟设定的时信号时针、分针是否相等),则以LED灯连续亮10秒钟进行提示,并由开关0控制闹钟的开和关。 2.5 多功能数字钟的倒计时功能部分:可通过按键3(设定分针)和按键2(设定秒针)设定倒计时开始,当按下按键一秒内未松手时,可进行快速设定时间。当没有手动时间设定时,系统默认为60秒倒计时。倒计时的时钟与数字钟的时钟相同,每迎到一个1s时钟上升

北京邮电大学数字电路实验报告

北京邮电大学 数字电路与逻辑设计实验 实验报告 实验名称:QuartusII原理图输入 法设计与实现 学院:北京邮电大学 班级: 姓名: 学号:

一.实验名称和实验任务要求 实验名称:QuartusII原理图输入法设计与实现 实验目的:⑴熟悉用QuartusII原理图输入法进行电路设计和仿真。 ⑵掌握QuartusII图形模块单元的生成与调用; ⑶熟悉实验板的使用。 实验任务要求:⑴掌握QuartusII的基础上,利用QuartusII用逻辑 门设计实现一个半加器,生成新的半加器图像模 块。 ⑵用实验内容(1)中生成的半加器模块以及逻辑门 实现一个全加器,仿真验证其功能,并能下载到实 验板上进行测试,要求用拨码开关设定输入信号, 发光二级管显示输出信号。 ⑶用3线—8线译码器(74L138)和逻辑门实现要求 的函数:CBA F+ C + =,仿真验证其 + B C B A A A B C 功能,,并能下载到实验板上进行测试,要求用拨 码开关设定输入信号,发光二级管显示输出信号。二.设计思路和过程 半加器的设计实现过程:⑴半加器的应有两个输入值,两个输出值。 a表示加数,b表示被加数,s表示半加和, co表示向高位的进位。

⑵由数字电路与逻辑设计理论知识可知 b a s ⊕=;b a co ?= 选择两个逻辑门:异或门和与门。a,b 为异 或门和与门的输入,S 为异或门的输出,C 为与门的输出。 (3)利用QuartusII 仿真实现其逻辑功能, 并生成新的半加器图形模块单元。 (4)下载到电路板,并检验是否正确。 全加器的设计实现过程:⑴全加器可以由两个半加器和一个或门构 成。全加器有三个输入值a,b,ci ,两个输 出值s,co :a 为被加数,b 为加数,ci 为低 位向高位的进位。 ⑵全加器的逻辑表达式为: c b a s ⊕⊕= b a ci b a co ?+?⊕=)( ⑶利用全加器的逻辑表达式和半加器的逻 辑功能,实现全加器。 用3线—8线译码器(74L138)和逻辑门设计实现函数 CBA A B C A B C A B C F +++= 设计实现过程:⑴利用QuartusII 选择译码器(74L138)的图形模块

数字系统设计

第一次作业 EDA 的英文全称是什么EDA 的中文含义是什么 答:ED自动化A 即Electronic Design Automation 的缩写,直译为:电子设计。 什么叫 EDA 技术利用 EDA 技术进行电子系统的设计有什么特点 答:EDA 技术有狭义和广义之分,狭义EDA 技术就是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件的方式设计的电子系统到硬件系统的逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化、逻辑布局布线、逻辑仿真,直至完成对于特定目标芯片的适配编译、逻辑映射、编程下载等工作,最终形成集成电子系统或专用集成芯片的一门新技术,或称为IES/ASIC 自动设计技术。 ①用软件的方式设计硬件;②用软件方式设计的系统到硬件系统的转换是由有关的开发软件自动完成的;③设计过程中可用有关软件进行各种仿真;④系统可现场编程,在线升级;⑤整个系统可集成在一个芯片上,体积小、功耗低、可靠性高。 从使用的角度来讲,EDA 技术主要包括几个方面的内容这几个方面在整个电子系统的设计中分别起什么作用 答:EDA 技术的学习主要应掌握四个方面的内容:①大规模可编程逻辑器件;②硬件描述语言;③软件开发工具;④实验开发系统。其中,硬件描述语言是重点。对于大规模可编程逻辑器件,主要是了解其分类、基本结构、工作原理、各厂家产品的系列、性能指标以及如何选用,而对于各个产品的具体结构不必研究过细。对于硬件描述语言,除了掌握基本语法规定外,更重要的是要理解VHDL 的三个“精髓”:软件的强数据类型与硬件电路的惟一性、硬件行为的并行性决定了VHDL 语言的并行性、软件仿真的顺序性与实际硬件行为的并行性;要掌握系统的分析与建模方法,能够将各种基本语法规定熟练地运用于自己的设计中。对于软件开发工具,应熟练掌握从源程序的编辑、逻辑综合、逻辑适配以及各种仿真、硬件验证各步骤的使用。对于实验开发系统,主要能够根据自己所拥有

数字时钟课程设计报告

《电子线路课程设计报告》 系另 1」: 机电与自动化学院 专业班级:电气及自动化技术1001 学生姓名:陈星涯 指导教师:梁宗善 i=r (课程设计时 间: 2012年1月3日——2012年1月13日) 华中科技大学武昌分校 1.课程设计目的................................................. 3页 2.课程设计题目描述和要求....................................... 3页 2.1课程设计题目............................................. 3页

2.2课程设计要求............................................. 3页 3. ......................................................................................................... 比较和选定设计的系统方案.................................................... 4页 3.1数字钟的构成............................................. 4页 4.单元电路设计及工作原理....................................... 5页 4.1时基电路................................................. 5页 a. 多谐振荡器的工作原理................................... 5页 4.2计数器................................................... 7页 a.中规模计数器组件介绍.................................. 7页 b.60 进制计数器 .......................................... 8页 C.12 翻1计数器........................................... 9页 4.3译码器................................................... 10页 4.4显示器................................................... 10页 4.5校时电路................................................. 11页 4.6定时控制电路............................................. 12页 4.7仿广播电台正点报时电路................................... 13页 5.调试过程及分析............................................... 14页 5.1显示器故障排查........................................... 14页 5.2计数器调试及分析......................................... 15页 5.3校时电路的调试........................................... 16页 5.4增加抗干扰电路........................................... 16页 5.5闹时电路的调试........................................... 17页 5.6仿广播电台整点报时电路调试............................... 17页 6.课程设计总结................................................. 17页 7.参考文献..................................................... 19页 8.附件一:电子时钟主体电路电路图............................... 20页 9.附件二:扩展电路电路图....................................... 21页 10.附件三:系统所需元器件清单 ................................ 22页 11.课程设计成绩.............................................. 23页 一、设计任务与目的 数字时钟是一种利用数字电路技术实现时、分、秒计时的装置,与传统的

数字秒表的设计与实现实验报告

电子科技大学《数字秒表课程设计》 姓名: xxx 学号: 学院: 指导老师:xx

摘要 EDA技术作为电子工程领域的一门新技术,极大的提高了电子系统设计的效率和可靠性。文中介绍了一种基于FPGA在ISE10.1软件下利用VHDL语言结合硬件电路来实现数字秒表的功能的设计方法。采用VHDL硬件描述语言,运用ModelSim等EDA仿真工具。该设计具有外围电路少、集成度高、可靠性强等优点。通过数码管驱动电路动态显示计时结果。给出部分模块的VHDL源程序和仿真结果,仿真结果表明该设计方案的正确,展示了VHDL语言的强大功能和优秀特性。 关键词:FPGA, VHDL, EDA, 数字秒表

目录 第一章引言 (4) 第二章设计背景 (5) 2.1 方案设计 (5) 2.2 系统总体框图 (5) 2.3 -FPGA实验板 (5) 2.4 系统功能要求 (6) 2.5 开发软件 (6) 2.5.1 ISE10.1简介 (6) 2.5.2 ModelSim简介 (6) 2.6 VHDL语言简介 (7) 第三章模块设计 (8) 3.1 分频器 (8) 3.2 计数器 (8) 3.3 数据锁存器 (9) 3.4 控制器 (9) 3.5 扫描控制电路 (10) 3.6 按键消抖电路 (11) 第四章总体设计 (12) 第五章结论 (13) 附录 (14)

第一章引言 数字集成电路作为当今信息时代的基石,不仅在信息处理、工业控制等生产领域得到普及应用,并且在人们的日常生活中也是随处可见,极大的改变了人们的生活方式。面对如此巨大的市场,要求数字集成电路的设计周期尽可能短、实验成本尽可能低,最好能在实验室直接验证设计的准确性和可行性,因而出现了现场可编程逻辑门阵列FPGA。对于芯片设计而言,FPGA的易用性不仅使得设计更加简单、快捷,并且节省了反复流片验证的巨额成本。对于某些小批量应用的场合,甚至可以直接利用FPGA实现,无需再去订制专门的数字芯片。文中着重介绍了一种基于FPGA利用VHDL硬件描述语言的数字秒表设计方法,在设计过程中使用基于VHDL的EDA工具ModelSim对各个模块仿真验证,并给出了完整的源程序和仿真结果。

数字电路实验计数器的设计

数字电路与逻辑设计实验报告实验七计数器的设计 :黄文轩 学号:17310031 班级:光电一班

一、实验目的 熟悉J-K触发器的逻辑功能,掌握J-K触发器构成异步计数器和同步计数器。 二、实验器件 1.数字电路实验箱、数字万用表、示波器。 2.虚拟器件: 74LS73,74LS00, 74LS08, 74LS20 三、实验预习 1. 复习时序逻辑电路设计方法 ①根据设计要求获得真值表 ②画出卡诺图或使用其他方式确定状态转换的规律 ③求出各触发器的驱动方程 ④根据已有方程画出电路图。 2. 按实验内容设计逻辑电路画出逻辑图 Ⅰ、16进制异步计数器的设计 异步计数器的设计思路是将上一级触发器的Q输出作为下一级触发器的时钟信号,置所有触发器的J-K为1,这样每次到达时钟下降沿都发生一次计数,每次前一级 触发器从1变化到0都使得后一级触发器反转,即引发进位操作。 画出由J-K触发器组成的异步计数器电路如下图所示:

使用Multisim仿真验证电路正确性,仿真图中波形从上到下依次是从低位到高位 触发器的输出,以及时钟信号。: 可以看出电路正常执行16进制计数器的功能。 Ⅱ、16进制同步计数器的设计 较异步计数器而言,同步计数器要求电路的每一位信号的变化都发生在相同的时间点。

因此同步计数器各触发器的时钟脉冲必须是同一个时钟信号,这样进位信息就要放置在J-K 输入端,我们可以把J-K端口接在一起,当时钟下降沿到来时,如果满足进位条件(前几位触发器输出都为1)则使JK为1,发生反转实现进位。 画出由J-K触发器和门电路组成的同步计数器电路如下图所示 使用Multisim仿真验证电路正确性,仿真图中波形从上到下依次是从低位到高位触发器的输出,计数器进位输出,以及时钟信号。:

数字时钟设计报告同济大学

设计报告内容: 1/系统的设计任务 2/设计方案 3/方案中各部分单元的设计、参数计算和器件选择 4/画出符合设计要求的完整系统电路图。 5/打印并在规定时间内上交设计报告(准备进行答辩,并在计算机中演示设计程序) 设计题目数字电子钟 1、设计任务: 必备功能: 1.设计一个高精度、高稳定度的时钟信号源。 2.用秒脉冲作信号源,构成数字钟,显示秒、分、时 3.具有对时功能,即时间可以快速预置。 附加功能: 具有整点提示功能,即每到整点发出蜂鸣声。 2、供选方案: 1)时钟信号源的实现: 时钟信号源是时钟类项目的心脏,他的精确度直接影响到整个项目的性能 方案A用石英晶振电路 晶振是石英振荡器的简称,英文名Crystal,是一种机电器件,是用电损耗很小的石英晶体经精密切割磨削并镀上电极焊上引线做成。它是时钟电路中最重要的部件,它的作用是向电子电路各部分提供基准频率。 选择晶振的主要性能指标有:调整频差、温度频差或总频差、谐振电阻或负载谐振电阻,还有机械性能等。 除了石英晶体外,晶振器电路还需要配置适当的电阻和晶振负载电容。和晶振串联电阻的作用是防止晶振过分驱动,过分驱动会逐渐损耗晶振的接触电镀,引起频率上升,使晶振失效。与晶振并联电阻是反馈电阻,保证反相器工作在适当工作区,如果去掉会产生停振。晶振负载电容能使芯片更容易起振,振荡更稳定。其电容值一般在 20pf,30pf,50pf,100pf 中选择。

方案B 555多谐振荡器

网上查阅的555多谐振荡器电路: _ _ 5V 优点是起振容易,振荡周期调节范围广,缺点是频率稳定性差,精度低,所以在本试验中不宜使用。 2)分频器的实现 方案A采用专用分频器 如二分频,六分频,十二分频,1/60分频器,常用集成电路有74LS92 74LS56,74LS57等。 方案B用各种进制计数器构成分频器 用异步十进制计数器74LS90同步十进制计数器74LS290双时钟同步加减计数器74LS192都可以很容易构成十进制,十二进制,二十四进制,六十进制分频器。另外,在对时钟进行2n分频时,CD4020,CD4040,CD4060也都能实现各种级数的二进制分频器。

数字电路及设计实验

常用数字仪表的使用 实验内容: 1.参考“仪器操作指南”之“DS1000操作演示”,熟悉示数字波器的使用。 2.测试示波器校正信号如下参数:(请注意该信号测试时将耦合方式设置为直流耦合。 峰峰值(Vpp),最大值(Vmax),最小值(Vmin), 幅值(Vamp),周期(Prd),频率(Freq) 顶端值(Vtop),底端值(Vbase),过冲(Overshoot), 预冲(Preshoot),平均值(Average),均方根值(Vrms),即有效值 上升时间(RiseTime),下降时间(FallTime),正脉宽(+Width), 负脉宽(-Width),正占空比(+Duty),负占空比(-Duty)等参数。 3.TTL输出高电平>2.4V,输出低电平<0.4V。在室温下,一般输出高电平是3.5V,输出低 电平是0.2V。最小输入高电平和低电平:输入高电平>=2.0V,输入低电平<=0.8V。 请采用函数信号发生器输出一个TTL信号,要求满足如下条件: ①输出高电平为3.5V,低电平为0V的一个方波信号; ②信号频率1000Hz; 在示波器上观测该信号并记录波形数据。

集成逻辑门测试(含4个实验项目) (本实验内容选作) 一、实验目的 (1)深刻理解集成逻辑门主要参数的含义和功能。 (2)熟悉TTL 与非门和CMOS 或非门主要参数的测试方法,并通过功能测试判断器件好坏。 二、实验设备与器件 本实验设备与器件分别是: 实验设备:自制数字实验平台、双踪示波器、直流稳压电源、数字频率计、数字万用表及工具; 实验器件:74LS20两片,CC4001一片,500Ω左右电阻和10k Ω左右电阻各一只。 三、实验项目 1.TTL 与非门逻辑功能测试 按表1-1的要求测74LS20逻辑功能,将测试结果填入与非门功能测试表中(测试F=1、0时,V OH 与V OL 的值)。 2.TTL 与非门直流参数的测试 测试时取电源电压V CC =5V ;注意电流表档次,所选量程应大于器件电参数规范值。 (1)导通电源电流I CCL 。测试条件:输入端均悬空,输出端空载。测试电路按图1-1(a )连接。 (2)低电平输入电流I iL 。测试条件:被测输入端通过电流表接地,其余输入端悬空,输出空载。测试电路按图1-1(b )连接。 (3)高电平输入电流I iH 。测试条件:被测输入端通过电流表接电源(电压V CC ),其余输入端均接地,输出空载。测试电路按图1-1(c )连接。 (4)电压传输特性。测试电路按图1-2连接。按表1-2所列各输入电压值逐点进行测量,各输入电压值通过调节电位器W 取得。将测试结果在表1-2中记录,并根据实测数据,做出电压传输特性曲线。然后,从曲线上读出V OH ,V OL ,V on ,V off 和V T ,并计算V NH ,V NL 等参数。 表1-1 与非门功能测试表

数字系统设计软件实验报告

实验一QuartusⅡ9.1软件的使用 一、实验目的: 1、通过实现书上的例子,掌握QUARTUSII9.1软件的使用; 2、编程实现3-8译码电路以掌握VHDL组合逻辑的设计以及QUARTUSII9.1软件的使用。 二、实验流程: 1、仔细阅读书上的操作指南,学会在QuartusⅡ9.1中创建新的工程,创建过程如下所示: 1)、建立新设计项目: ①启动QuartusⅡ9.1软件,在软件的管理器窗口选File下拉菜单,即File→New Project Wizard,则出现新建工程向导窗口。如下所示: ②点击Next按钮,将弹出新建工程设置窗口,如下图所示。在新建工程设置窗口中设置好工程的存放路径、工程名称等。

③点击Next进入添加文件窗口,如下图。由于尚未创建文件,跳过该步骤。 ④点击Next按钮,进入选择目标芯片窗口。在这里我们选择Cyclone系列的EP1C6Q240C8,如下图:

⑤点击Next按钮,进入EDA工具设置窗口,通常选择默认的“None”,表示选择QuartusⅡ自带的仿真器和综合器。如下图: ⑥点击Next按钮,弹出New Project Wizard概要对话框,在这个窗口中列出了所有前面设置的结果。若有错误则点击Back回去修改,否则点击Finish结束,即完成新工程的设定工作。如下图:

2)、文本设计输入: ①在QuartusⅡ主界面菜单栏中选择File下拉菜单中的New,弹出新建设计文件窗口,选择VHDL File项,点击OK按钮即可打开VHDL文本编辑窗口,其默认文件名为“Vhdl.vhd”。 ②出现文本编辑窗口后,我们可以直接在空白界面中键入所设计的VHDL文本。这时我们将书本中的程序输入到文本编辑环境中去。程序如下: library IEEE; use IEEE.std_logic_1164.all; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity count10 is port(clk,load,en:in std_logic; data_in:in std_logic_vector(3 downto 0); seg:out std_logic_vector(6 downto 0)); end count10; architecture beha of count10 is signal qout:std_logic_vector(3 downto 0); signal q_temp:std_logic_vector(3 downto 0); begin process(clk,load) begin

数字时钟课程设计报告

数字电子技术课程设计报告 题目多功能数字钟 学院机械工程学院 专业测控技术与仪器 班级 学生姓名 学号 2014年1 月6 日至1 月10日共1 周 指导教师(签字)

数字时钟 摘要 数字电子钟是一种用数字显示秒﹑分﹑时的记时装置,与传统的机械时钟相比,它一般具有走时准确﹑显示直观﹑无机械传动装置等优点,因而得到了广泛的应用:小到人们的日常生活中的电子手表、电子闹钟,大到车站﹑码头﹑机场等公共场所的大型数字显电子钟。 本课程设计是要通过简单的逻辑芯片实现数字电子钟。要点在于用555芯片连接输出为一秒的多谐振荡器用于时钟的秒脉冲,用74LS160(10进制计数器)、74LS00(与非门芯片)等连接成60和24进制的计数器,再通过数码管显示,构成简单数字时钟。 关键词数字时钟、计数器、555芯片、分频器 技术要求 1、能显示24小时制的时、分、秒(23小时59分59秒); 2、具有校时功能,可以分别对时或分进行单独校时,使其校正到标准时间; 3、用555定时器组成的多谐振荡器产生时钟脉冲,脉冲频率稳定在1KHz; 4、用三级74LS90组成千分频器,用千分频器将555多谐振荡器产生的1KHz时 钟脉冲降频到1Hz。 5、具有闹钟功能。

一、系统综述 数字时钟电路是一个典型的数字电路系统,其由时、分、秒计数器以及校时和显示电路组成。其主要功能为计时、校时。利用60进制和24进制递增计数器子电路构成数字时钟系统,由2个60进制同步递增计数器完成秒、分计数,由1个24进制同步递增计数器完成小时计数。秒、分、时计数器分别都以两个74LS160同步级联而成,秒、分、时计数器之间采用异步级联的方式。 图1. 设计框架图

相关主题
文本预览
相关文档 最新文档