当前位置:文档之家› 简易交通灯控制电路的设计

简易交通灯控制电路的设计

简易交通灯控制电路的设计
简易交通灯控制电路的设计

xxxxxx大学

课程设计

简易交通灯控制电路的设计~

班级

学号

学生姓名

指导教师

xxxxxx大学

课程设计任务书

课程名称电子线路课程设计

课程设计题目简易交通灯控制电路的设计

课程设计的内容及要求:

:

一、设计说明与技术指标

1.东西方向绿灯亮,南北方向红灯亮,时间25s。

2.东西方向与南北方向黄灯亮,时间5s。

3.南北方向绿灯亮,东西方向红灯亮,时间15s。

4.如果发生紧急事件,可以手动控制四个方向红灯全亮,禁止该道路的车辆通行,特殊情况过后能恢复正常。

二、设计要求

1.在选择器件时,应考虑成本。

2.根据技术指标,通过分析计算确定电路和元器件参数。

3.画出电路原理图(元器件标准化,电路图规范化)。

三、实验要求

1.根据技术指标制定实验方案;验证所设计的电路,用multisim软件仿真。

2.进行实验数据处理和分析。

四、推荐参考资料

1. 童诗白,华成英主编.模拟电子技术基础.[M]北京:高等教育出版社,2006年

2. 阎石,数字电子技术(第五版).[M]北京:高等教育出版社,2005.

3. 陈孝彬《555集成电路实用电路集》高等教育出版社2002-8

4. 王刚《TTL集成电路应用》机械工业出版社 2000-10

五、按照要求撰写课程设计报告

成绩评定表:

指导教师签字:

年月日~

}

!

一、概述

本设计通过采用数字电路对交通灯控制电路进行设计,使用交通灯控制电路用数字信号自动控制十字路口两组红、黄、绿交通灯的状态转换的方法,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。因此,在本次课程设计里,将以传统的设计方法为基础来设计交通控制信号灯。

在实际情况下,一个十字路有一个主干道和一个支干道。主干道的车流量较大,即要求主干道绿灯亮的时间长,支干道正好相反。

设A代表东西方向即主干道,B代表南北即支干道;R代表红灯亮,Y代表黄灯亮,G代表绿灯亮。且设主、支干道红、黄、绿灯亮的四种状态分别由Q2、Q1的四种数值组合表示,时间设置如下(1代表灯亮,0代表灯灭)。

表1 四种状态表示亮灯颜色与时间

以设计要求为依据,本方案一共分为秒脉冲信号发生电路、计时电路、控制电路、计时显示电路、信号灯控制电路、紧急状态手动控制电路六大部分组成。最终达到包括两组红黄绿灯(配合十字路口的双向指挥控制)、一组手动与自动控制开关(针对交通警察指挥交通控制使用)、倒计时显示器(显示允许通行或禁止通行时间)在内的最终设计目标。

二、方案论证

计数器的设计

方案一:

用74LS192直接构成减计数器,时钟脉冲上升沿到来时,在控制器的作用下置

数后开始计数,计数器以减计数向显示译码器提供信号。

方案二:

定时器由与系统秒脉冲同步的计数器74LS161构成,时钟脉冲上升沿到来时,在控制信号作用下置数为反码,输出端接入非门后计数器实现计数和倒计时显示

的双重功能。

方案选择:

74LS192是双时钟同步16进制可逆计数器,用它进行减计数与数字显示倒计时相符合。然而由于对74LS192不太熟悉,并且在最初提供的芯片中并没有74LS192,所以最终选择了74LS161芯片。而且由于经常应用,因而使用起来较方便。因而选择方案二。

所以最终确定的总体实验方案如下:

由555时钟信号发生电路产生稳定的“秒”脉冲信号。用两片74LS161作为计数器分别作为高低位片,将其输出端通过非门与74LS47相连后,把74LS47输出端连到共阳极数码管上,实现倒计时;用一片74LS74即两个D触发器作为状态控制器,控制状态变量Q2Q1的变化,即实现变化:00-01-10-11;用两片计数器的C 进位端进行与运算后作为状态控制器的脉冲;利用状态控制器与计数器的输出对

计数器实现置数操作,从而实现模25,模15,模5的转换;状态控制器的输出Q2Q1连接至74LS138的两个地址输入端AB,第三个地址输入端C接地;六个灯按照不同状态分别与74LS18的前四个输出端连接。

总体设计方案框图如图1:

图1 总体设计方案框图

在此电路中,555时钟信号发生器产生一个以秒为单位的CLK脉冲,计数器74LS161接受CLK脉冲,实现同步计时。通过非门连接计数器输出端与译码驱动电路,在数码管上显示倒计时。将计数器的进位输出C取反用以控制其自身循环置数,对计数器的两片74LS161的输出C通过与门来作为红绿灯状态控制器74LS74的脉冲信号,由此,状态从00变至01,历时25s;从01至10,历时5s;从10至11,历时20s;再从11变至00,历时5s,依次循环。状态不同,计数器置数和红绿灯如何显示不同;计数器置数不同,则模值不同,状态改变时间也不同。

三、电路设计

秒脉冲信号发生器的设计

秒脉冲发生器的功能及组成元件

秒脉冲发生器用于产生周期为1秒的的矩形波脉冲,其主要由555芯片组成的多谐振荡器来实现。

秒脉冲发生器的工作原理

由555定时器构成的多谐振荡器如图3所示,R1,R2和C是外接定时元件,电路中将高电平触发端和低电平触发端并接后接到R2和C的连接处,将放电端接到R1,R2的连接处。由于接通电源瞬间,电容C来不及充电,电容器两端电压u u 为低电平,小于(1/3)Vcc,故高电平触发端与低电平触发端均为低电平,输出u u 为高电平,放电管VT截止。这时,电源经R1,R2对电容C充电,使电压u u按指数规律上升,当uc上升到(2/3)Vcc时,输出u u为低电平,放电管VT导通,把u u从(1/3)Vcc 上升到(2/3)Vcc这段时间内电路的状态称为第一暂稳态,其维持时间u1的长短与电容的充电时间有关。充电时间常数

"

=(u1+u2)×u(1)

T

由于放电管VT导通,电容C通过电阻R2和放电管放电,电路进人第二暂稳态.其维持时间u2的长短与电容的放电时间有关,放电时间常数

=u2×u(2)

u

随着C的放电,u u下降,当uc下降到(1/3)Vcc时,输出u u。为高电平,放电管VT截止,Vcc再次对电容c充电,电路又翻转到第一暂稳态。不难理解,接通电源后,电路就在两个暂稳态之间来回翻转,则输出可得矩形波。电路一旦起振后,u u电压总是在(1/3~2/3)Vcc 之间变化。图2为工作波形。

图2 多谐振荡器工作波形

图3 555构成的多谐振荡器原理图

#

秒脉冲发生器电路的元件参数计算

因为对于该电路有

T=u1+u2 (3)

u1=0.7(u1+u2)×u (4)

u2=0.7×u2×u (5)

T≈0.7(R1+2R2)×C (6)

若令C=10μf,R

1=43K?,那么R

2

=51K?。则≈,对于信号灯来说精度足够。秒

脉冲发生器电路如图4所示。

|

图4 555组成的秒脉冲发生电路

此电路为计时电路提供时钟信号CLK。

计时电路的设计

定时器的功能及其组件

计时器的功能是实现精确定时并驱动显示译码器实现倒计时输出,其电路组成元件有74LS161、74LS04(非门)、74LS08(二输入与门)、74LS86(异或门)。

图5 4位二进制同步加法计数器74LS161引脚排列图74LS161是4位二进制同步加法计数器,它具有异步清零、同步置数的功能。74LS161的引脚图如图5所示,其功能表如表2所示。图中,u u′是低电平有效的异步清零输入端,uu′是低电平有效的同步并行置数控制端,ET、EP是计数控制使能端,C是进位输出端,D0~D3是并行数据输入端,Q0~Q 3输出端。

{

表2 4位二进制同步加法计数器74LS161功能表

定时器电路工作原理

定时器工作原理图如图6所示。

图6 两片74LS161级联组成的定时器电路

两片74LS161之间采用并行连接,低位片的进位输出接入高位片的EP、ET使能端,高位片只有在低位片进位时才会进行计数或置数。

此电路输出后接入非门使其输出为反码,当计数器输出原码为1111即反码为0000时,进位输出端C输出高电平,进行非运算后变为低电平接入LD’进行置数,

并行输入端D

3D

2

D

1

D

的数据被置入计数器。综上所述:高位片只有在高低位片

Q

A Q

B

Q

C

Q

D

输出都为1111时才会被置数,低位片Q

A

Q

B

Q

C

Q

D

为1111时高位片仅进行计数

运算。若计数器分别输出25秒(S

0)、5秒(S

1

)、15秒(S

2

)、5秒(S

3

),四个状

态的计数信号,则需要系统的状态量Q

2Q

1

和74LS161的输出Q

A

Q

B

Q

C

Q

D

共同控制芯片

的置数端D

0D

1

D

2

D

3

。由表1可以列出两个计数芯片的状态转换表如表3所示。

表3 两片74LS161的置数状态转换表(*表示不进行置数操作)

由表3可以得出高位片:

>

u3=u2=1 (7)

u1=u1+u2 (8)

u0=u1+u2′ (9)低位片:

u3=u0=Q u?u u (10)

u2=u u′?u u′ (11)

u1=1 (12)此电路的输出为计时显示电路的输入。

'

计时显示电路

计时显示电路包含74LS47显示译码器和共阳极二极管。该电路将计时电路产生的倒计时数码进行可视化显示。此电路中显示译码器与数码管的对应关系为74LS48驱动共阴极数码管,74LS47驱动共阳极数码管。电路没有复杂逻辑关

系,按照管脚关系认真连接即可。计时显示电路如图7所示。

图7 计时显示电路原理图

控制器电路的设计

控制器的功能及组成元件

控制器的功能是按预定的状态循环控制着每个交通灯的亮和灭。其电路由74LS168芯片和74LS74芯片来实现。其中74LS138芯片为3线-8线译码器,用来驱动译码电路。74LS74芯片为边沿D 触发器,用来实现四个状态的循环。

控制器电路工作原理

如图8,为控制器状态图。

(Q2Q1/C)

图8 控制器状态图

总状态数M=4,所以取触发器数目为2,取自然二进制数的00

~11作为S 0~S 3

的编码,得到状态转换表,如表4.

表4 触发器状态转换表

选用2个CLK 边沿触发的D 触发器,分别用FF 1、FF 2表示。由于采用同步方案故时钟方程

CLK=clk 1=clk 2=C 1C 2 (13)

其中C 1C 2分别为两个74LS161

的进位输出端。

表示电路次态/进位输出C 与电路现态关系的卡诺图如图9所示。

图9 电路次态/进位输出C 与现态关系的卡诺图

对图9拆分化简后可得出:

u 2?

=u 1?u 2 (14) u 1?=u 1′

(15)

式(14)(15)为状态方程,比较D 触发器的特性方程

#

u ?

=u (16)

可得:

u 2=u 1?u 2 (17) u 1=u 1′

(18)

74LS138为3线-8线译码器,本系统中只有四个状态,所以将地址输入端高

位C接地,Q

2Q

1

分别接BA,此时输出Y

Y

1

Y

2

Y

3

分别表示S

S

1

S

2

S

3

四个状态。

控制电路为全系统提供状态转换信号。原理图如图10。

图10 控制电路原理图

!

紧急状态手动控制电路的设计

考虑到实际中可能遇到紧急情况需要禁止整个十字路口通行,即四个方向全是红灯,而紧急状态结束后还能够继续正常运行,所以本系统设计了紧急状态手动控制开关。

此开关为单刀双掷开关,连接74LS138的G1片选端,和计时器的低位片的EP、ET使能端,这三个端口都是连接高电平时可以使芯片正常工作,连接低电平时则会使芯片禁止工作处于保持状态,所以可以使整个系统暂停工作,在这一端还要连接一个非门后接入用或门与红灯控制电路连接。因此单刀双掷开关的另外两端分别接高电平和地,正常状态时长接高电平,紧急状态下接地使系统停止工作。在非门的控制下把低电平转化为高电平使红灯常亮。

其电路如图11所示

图11 紧急状态手动控制开关

信号灯控制电路的设计

信号灯控制电路接收控制电路的74LS138给出的四个状态信号分别按照设计要求控制不同的信号灯,对应控制关系如表5所示

表5 四种状态对应控制灯光

所以:

AG=Y 2 (19) AY=Y 3

(20) AR=Y 0+Y 1 (21) BG=Y 0 (22) BY=Y 1 (23)

BR=Y 2+Y 3 (24)

可连接信号灯控制电路如图12所示。由于74LS138输出低电平有效,所以接入非门装换为高电平后再连接如信号灯控制电路。这里的或门中接入紧急状态手动开关的控制信号,使其可以在紧急状态74LS138被禁止的状况下提供红灯全亮信号。

图12 信号灯控制电路原理图

四、性能测试

秒脉冲发生电路的测试

,

将555芯片3脚输出端接入示波器得到秒脉冲波形,如图13所示。

图13 秒脉冲波形

此部分能够较为精确的输出秒脉冲信号,为整个系统提供时钟信号。 定时器与计时显示电路测试

计时显示电路可以显示出定时器的工作状态,所以一起进行测试。在仿真和实物测试中可以都看到此部分电路可以实现设计功能。完成有S 0(24~0),S 1(4~0),S 2(14~0),S 3(4~0),四个状态的倒计时循环。图14到图17为每个状态开始时的仿真截图。

图14 S0状态开始时仿真图

?

图15 S1状态开始时仿真图

图16 S2状态开始时仿真图

图17 S3状态开始时仿真图控制电路和信号灯控制电路测试

控制电路为整个系统提供状态信号Q

2Q

1

,信号灯控制电路接受控制电路信号后控制不

同颜色的灯亮灭。图18为控制电路和信号灯控制电路的仿真逻辑分析图。

逻辑图由上到下分别表示Q

2、Q

1

、AG、AY、AR、BG、BY、BR。

!

Q 2Q

1

=00时,AR=BG=1,即东西方向红灯亮,南北方向绿灯亮;

Q 2Q

1

=01时,AG=BY=1,即东西方向红灯亮,南北方向黄灯亮;

Q 2Q

1

=10时,AG=BR=1,即东西方向绿灯亮,南北方向红灯亮;

简易交通灯控制电路的设计课程设计

长安大学 电子技术课程设计 题目简易交通信号灯控制器 班级 姓名黄红涛指导教师温 凯歌 日期 前言 在现代城市中,人口和汽车日益增长,市区交通也日益拥挤,人们的安全问题也日益重要。因此,红绿交通信号灯成为交管部门管理交通的重要工具之一。有了交通灯之后人们的安全出行有了很大的保障。 自从交通灯诞生以来,其内部的电路控制系统就不断的被改进,设计方法也开始多种多样,从而使交通灯显得更加智能化。尤其是近几年来,随着电子与计算机技术的飞速发展,电子电路分析和设计方法有了很大的改进,电子设计自动化也已经成为现代电子系统中不可缺少的工具和手段,这些为交通灯控制电路的设计提供了一定的技术基础。 因此,在本次课题为简易交通灯的课程设计中,通过采用数字电路对交通灯控制电路的设计,提出使交通灯控制电路用数字信号自动控制十字路口两组红、黄、绿交通灯的状态转换的方法,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。

本设计分为两个部分:第一部分是由定时器、时钟脉冲驱动和控制器组成的秒脉冲信号发生装置;第二部分是有译码器、发光二极管和数码管组成的交通信号灯以及时间显示装置。各部分采用分模块设计,正文中详细介绍了各模块的功能和原理。 为了完成本次设计,参阅了大量的资料,包括所用到的芯片的详细中英文资料。搜集和查阅资料是一个漫长但是非常重要的过程,获取各模块电路原理,然后经过讨论比较,结合课题要求,确定出一套最合适的方案。小组人员花费几天时间,通过图书馆和上网查阅资料,分别查阅到相应资料。经过商讨,结合现有资料,制定基本框架,并基本定出电路图。在MULTISIM软件里进行电路仿真,来验证电路的正确性。通过仿真来验证实验原理和电路的正确性。在整个过程中,充分发挥主观能动性,将平时所学的理论知识和实际相结合,往往理论可行的东西,实际并不一定能出现结果,这就是我们需要解决的问题,通过问老师或者查资料来分析解决问题。最后确定仿真没有错误后,汇总电路图。 本设计分为两大部分,交通信号灯以及译码显示电路(时间显示)部分由黄红涛同学和韩白雨同学负责主导设计;秒脉冲信号发生以及控制部分由任永刚同学负责,最后进过整合后得到完整系统。 由于缺少实践经验,并且知识有限,所以本次课程设计中难免存在缺点和错误,敬请老师批评指正。 黄红涛 2010年12月29日 目录 前言 (2)

智能交通灯控制器的设计报告

2012年电子技术课程设计说明书题目:7 智能交通灯控制器的设计(A) 学生姓名:张鲜艳 学号: 0407 院(系):电气与信息工程学院 专业:自动化 指导教师:辛登科 2012 年 12 月 4日

目录 74LS08、74LS32、74LS04简要说明....................... 错误!未定义书签。 CD4511简要说明....................................... 错误!未定义书签。 4 74HC190 简要说明................................... 错误!未定义书签。 5 元器件清单............................................. 错误!未定义书签。 6 调试过程及测试数据(或者仿真结果)..................... 错误!未定义书签。 通电前检查........................................... 错误!未定义书签。 通电检查............................................. 错误!未定义书签。 按钮开关的检查................................... 错误!未定义书签。 CD45111模块的调试............................... 错误!未定义书签。 NE555单元电路的调试............................. 错误!未定义书签。 74LS04非门的调试................................. 错误!未定义书签。 74LS32非门的调试................................. 错误!未定义书签。 发光二极管的调试................................. 错误!未定义书签。 结果分析............................................. 错误!未定义书签。 7 小结:................................................. 错误!未定义书签。 8 设计体会及今后的改进意见............................... 错误!未定义书签。 体会................................................. 错误!未定义书签。 本方案特点及存在的问题............................... 错误!未定义书签。 改进意见............................................. 错误!未定义书签。

设计题目 交通灯控制器设计

广西科技大学 单片机技术课程设计报告 课程:单片机技术 题目: 学院: 专业: 姓名: 学号: 指导老师: 完成时间: 成绩评定 设计报告得分S1:(百分制) 平时考勤得分S2:(百分制) 问题回答得分S3:(百分制) 总成绩:(S1×0.6+S2×0.2+ S3×0.2) 指导教师签字年月日

摘要 随着各种交通工具的发展和交通指挥的需要,第一盏名副其实的三色灯(红、黄、绿三种标志)于1918年诞生。它是三色圆形四面投影器,被安装在纽约市五号街的一座高塔上,由于它的诞生,使城市交通大为改善。黄色信号灯的发明者是我国的胡汝鼎,他怀着“科学救国”的抱负到美国深造,在大发明家爱迪生为董事长的美国通用电器公司任职员。一天,他站在繁华的十字路口等待绿灯信号,当他看到红灯而正要过去时,一辆转弯的汽车呼地一声擦身而过,吓了他一身冷汗。回到宿舍,他反复琢磨,终于想到在红、绿灯中间再加上一个黄色信号灯,提醒人们注意危险。他的建议立即得到有关方面的肯定。于是红、黄、绿三色信号灯即以一个完整的指挥信号家族,遍及全世界陆、海、空交通领域了。 交通灯控制器设计主要功能是用单片机控制LED灯模拟指示。模拟东西南北方向的十字路口交通灯信号控制情况。以89C52单片机为核心芯片,采用中断方式实现控制。本模拟系统由单片机硬/软件系统,两位8段数码管和LED灯显示系统。和复位电路控制电路等组成,较好的模拟了交通路面的控制。 关键词:交通灯单片机数码管

目录 1.概述 (1) 2系统总体方案及硬件设计 (2) 2.1设计内容 (2) 2.2 设计要求 (2) 2.3 总体设计思想 (2) 2.4 设计参考 (2) 2.5 知识点准备 (2) 3各模块设计 (3) 3.1设计项目简介 (3) 3.2总体设计 (3) 3.3硬件设计 (3) 3.4软件设计 (9) 4软件仿真 (12) 5课程设计体会 (13) 参考文献 (14) 附录一程序清单 (15) 附录二系统原理图 (21)

数字系统课程设计-交通灯控制器实验报告

交通灯控制器 ——数字系统设计报告 姓名: 学号:

一.实验目的 1.基本掌握自顶向下的电子系统设计方法 2.学会使用PLD和硬件描述语言设计数字电路,掌握 Quartus II等开发工具的使用方法 3.培养学生自主学习、正确分析和解决问题的能力 二.设计要求 我所选择的课题是用Verilog HDL实现交通灯控制器。该课题的具体内容及要求如下: 主干道与乡村公路十字交叉路口在现代化的农村星罗棋布,为确保车辆安全、迅速地通过,在交叉路口的每个入口处设置了红、绿、黄三色信号灯。红灯禁止通行;绿灯允许通行;黄灯亮则给行驶中的车辆有时间行驶到禁行线之外。主干道和乡村公路都安装了传感器,检测车辆通行情况,用于主干道的优先权控制。 (1)当乡村公路无车时,始终保持乡村公路红灯亮,主干道绿灯亮。 (2)当乡村公路有车时,而主干道通车时间已经超过它的最短通车时间时,禁止主干道通行,让乡村公路通行。主干道最短通车时间为25s 。 (3)当乡村公路和主干道都有车时,按主干道通车25s,乡村公路通车16s交替进行。 (4)不论主干道情况如何,乡村公路通车最长时间为16s。 (5)在每次由绿灯亮变成红灯亮的转换过程中间,要亮5s时

间的黄灯作为过渡。 (6)用开关代替传感器作为检测车辆是否到来的信号。用红、绿、黄三种颜色的发光二极管作交通灯。 (7)要求显示时间,倒计时。 (C表示乡村道路是否有车到来,1表示有,0表示无;SET用来控制系统的开始及停止;RST是复位信号,高电平有效,当RST=1时,恢复到初始设置;CLK是外加时钟信号;MR、MY、MG分别表示主干道的红灯、黄灯和绿灯;CR、CY、CG分别表示乡村道路的红灯、黄灯和绿灯,1表示亮,0表示灭) 系统流程图如下:(MGCR:主干道绿灯,乡村道路红灯;MYCR:主干道黄灯,乡村道路红灯;MRCG:主干道红灯,乡村道路绿灯;MRCY:主干道红灯,乡村道路黄灯;T0=1表示主干道最短通车时间到,T1=1表示5秒黄灯时间到,T2=1表示乡村道路最长通车时间到。)

简易交通灯控制逻辑电路设计word精品

推南呼紅爭院 电子技术课程设计报告题目:简易交通灯控制逻辑电路设计 学生姓名: 学生学号: 年级:2014 级 专业:自动化 班级:(1)班 指导教师: 机械与电气工程学院制 2017年5月 简易交通灯控制逻辑电路设计

机械与电气工程学院自动化专业 1设计的任务与要求 1.1 课程设计的任务 本系统由单片机系统、数码管显示、交通灯显示系统组成。系统除基本的交通功能外,还具有倒计时。东西、南北两个干道交于一个十字路口,各干道有一组红、绿、黄三色的指示灯。红灯亮禁止通行,绿灯亮允许通行。黄灯亮提示人们注意红、绿灯的状态即将切换。 1.2 课程设计的要求本次课程设计实现的交通灯是带有额外的功能,预期实现的主要功能如 下。 (1)具有时间显示功能,就是数码管倒计时功能; (2)红绿灯具有两种状态,南北直行,东西直行; (3)具有模式转换功能,切换到不同状态,交通灯通行时间不一样; 程序开始运行先南北段通行、东西段禁止60s,后东西段通行、南北段禁止60s,依此循环。系统分三种工作模式:正常模式、繁忙模式、特殊模式,并且通过三个按钮“正常”、“繁忙”、“特殊”可相互转化。 正常模式:直行时间显示数码管显示60。此时南北段直行通行(绿灯)、东西段禁 止(红灯)60s,倒计时到3s时,黄灯亮,提醒人们注意了。然后是东西段通行(绿灯),南北段禁行(红灯),一直循环下去。 繁忙模式:南北段、东西段的通行时间改为30s,其它与正常模式类似。 特殊模式:特殊模式红灯全亮,倒计时20s,到最后3秒黄灯闪3次后并转入正常模式。 2 简易交通灯控制逻辑电路设计方案制定 2.1 简易交通灯控制逻辑电路设计的原理系统上电或手动复位之后,系统先显示状态灯及LED 数码管,将状态码值送显P1 口,将要显示的时间值的个位和十位分别送显P0 口,在此同时用软件方法计时1秒, 到达1s就要将时间值减1,刷新LED数码管。

单片机设计方案——交通灯控制系统设计方案

单片机课程设计报告交通灯控制系统设计

摘要 本设计是针对交通灯系统的设计,由单片机AT89C51(实物用AT89S52)、键盘、LED显示、交通灯演示系统组成。单片机是把微型计算机的各功能部件集成在一块芯片中,构成的一个完整的微型计算机。AT89C51单片机是MC-51中的子系列,是一组高性能兼容型单片机,AT89C51是一个低功耗高性能的CMOS 8位单片机,40个引脚,片内含4KB Flash ROM和128B RAM,它是一个全双工的串行通行口,既可以用常规编程,又可以在线编程。 本设计中的数码管的选通采用的方法是动态显示,对每一位数码分时轮流通电显示,复位电路采用上电+按钮电平复位,时钟电路采用内部时钟产生方式。对特殊情况的处理采用中断处理方式,在中断处理程序中采用对管脚的状态查询扫描,已采取相应情况的处理。 对设计方案进行电路硬件设计,并将已编程的程序载入调试,可以得到理想的实验效果。系统包括人行道、左转、右转、以及基本的交通灯的功能.具体功能是假如 A 道和B道上均有车辆要求通过时,A、B道轮流放行。A道放行 25秒,B道放行20秒。一道有车而另一道无车,交通灯控制系统能立即让有车道放行。有紧急车辆要求通过时,系统要能禁止普通车辆通行,A、B道均为红灯,紧急车由K2 开关模拟。绿灯转换为红灯时黄灯亮 1秒钟。系统除基本交通灯功能外,还具有倒计时、时间设置、紧急情况处理、分时段调整信号灯的点亮时间以及根据具体情况手动控制等功能。

目录 1引言.......................................................................................................................................... - 1 - 1.1交通灯的重要作用........................................................................................................... - 1 -1.2该交通灯系统的特点....................................................................................................... - 1 -2系统总体方案及硬件设计 ......................................................................................................... - 2 - 2.1原理框图........................................................................................................................... - 2 -2.2设计功能........................................................................................................................... - 2 - 2.3交通灯控制系统各部分硬件组成................................................................................... - 2 - 2.3.1复位部分.................................................................................................................... - 2 - 2.3.2时钟电路部分............................................................................................................ - 3 - 2.3.3路口指示灯部分........................................................................................................ - 3 - 2.3.4显示部分.................................................................................................................... - 3 -2.4元器件清单....................................................................................................................... - 4 -3软件设计..................................................................................................................................... - 5 - 3.1交通灯控制系统软件流程图及程序分析....................................................................... - 5 - 3.1.1主程序流程图及程序模设计.................................................................................... - 5 - 3.1.2INT0中断服务程序流程图及程序模设计.............................................................. - 6 -3.2路口指示灯部分............................................................................................................... - 7 - 3.3显示部分........................................................................................................................... - 7 - 4. Proteus软件仿真 ..................................................................................................................... - 8 - 5 课程设计体会......................................................................................................................... - 10 -参考文献....................................................................................................................................... - 10 -附1:源程序代码 (13) 附2:系统原理图 (20)

交通灯控制器的设计

交通灯控制器的设计 LG GROUP system office room 【LGA16H-LGYY-LGUA8Q8-LGA162】

电子设计自动化实训说明书 题目:交通灯控制器的设计 系部:信息与控制工程学院 专业:电子信息工程 班级: 06级1班 学生姓名: 朱清美学号: 015 指导教师:张建军 2009年12月21日 目录 1摘要............................................................... 2设计任务与要求..................................................... 3设计原理及框图..................................................... 4单元电路设计及仿真调试............................................. 状态控制器的设计................................................ 状态译码器设计及仿真调试........................................ 定时系统设计及仿真调试.......................................... 秒脉冲发生器设计................................................ 5个人总结 (14) 6参考文献........................................................... 1摘要: 分析了现代城市交通控制与管理问题的现状,结合城乡交通的实际情况阐述了交通灯控制系统的工作原理,给出了一种简单实用的城市交通灯控制系统的硬件电路设计方案。关键词:交通控制交通灯时间发生器定时器1 引言随着社会经济的发展,城市交通问题越来越引起人们的关注。人、车、路三者关系的协调,已成为交通管理部门需要解决的重要问题之一。城市交通控制系统是用于城市交通数据监测、交通信号灯控制与交通疏导的计算机综合管理系统,它是现代城市交通监控指挥系统中最重要的组成部分。随着城市机动车量的不断增加,许多大城市如北京、上海、南京等出现了交通超负荷运行的情况,因此,自80年代后期,这些城市纷纷修建城市高速道路,在高速道

交通灯控制器课程设计实验报告

2011级课程设计实验报告 交 通 灯 控 制 器 院(系):计算机与信息工程学院 专业年级: 2011级通信工程一班 姓名: 谢仙 学号: 指导教师: 杨菊秋 2013年06月25日

目录 1 引言 (3) 2 任务与要求 (3) 3 课程设计摘要及整体方框图 (3) 4 课程设计原理 (4) 555定时器 (5) 七位二进制计数器4024 (6) 二进制可逆计数器74LS193 (8) 数码显示电路 (9) 结论 (10) 体会与收获 (10) 附录: 1、整体电路原理图 (11) 2、元件表 (12) 3、焊接与调试 (12) 1引言

交通信号灯常用于交叉路口,用来控制车辆的流量,提高交叉路口车辆的通行能力,减少交通事故。本交通灯设计主要由秒脉冲发生器、计数器、译码显示电路组成。秒脉冲发生器由NE555产生脉冲,计数器由74LS193和4024实现,译码电路采用74LS48和七段数码管来显示。 2设计任务与要求 交通灯控制信号的应用非常广泛。本电路设计一个交通灯控制器,需要达到的目的如下; 一个周期64秒,平均分配,前32秒红灯亮,后32秒绿灯亮。 在红灯亮的期间的后8秒与红灯在一起的黄灯闪烁(注意:红灯同时亮)。为了显示效果明显,设计闪烁频率为1。 在绿灯亮的期间的后8秒与绿灯在一起的黄灯闪烁(注意:绿灯同时亮),为了显示效果明显,设计闪烁频率为1。 在黄灯闪烁期间,数码管同时倒计时显示,在此期间以外,数码管不亮。 3课程设计摘要及整体方框图 为了完成交通灯控制电路的设计,方案考虑如下: 一个脉冲信号发生器,一个二进制加法计数器,一个十进制减法计数器,红灯与绿灯以及黄灯是否亮是由二进制加法计数器的输出端状态来决定的,因此,设计一个组合逻辑电路,它的输入信号就是二进制加法计数器的输出信号,它的输出就是发光二极管的控制信号,因此,需要一个组合逻辑电路,六个发光二极管(二个红色发光二极管,二个绿色发光二极管,二个黄色发光二极管)电路,一个数码管显示电路。结构图如下: 4 课程设计原理分析及相关知识概述

简易交通灯电路设计

课程设计说明书 课程设计名称:数字逻辑课程设计 课程设计题目:简易交通灯电路设计 学院名称:信息工程学院 专业:计算机科学与技术班级: 学号:姓名: 评分:教师: 20 10 年9月16 日

摘要 随着社会经济快速发展以及现代社会人口的增加,顺应各种交通工具的发展和交通指挥的需要,加强交通道路管理,减少交通事故的发生,提高道路使用效率,此时交通灯便应运而生。它已逐渐成为改善交通状况的一种重要工具。因此设计交通灯电路对我们的生活息息相关。 本次课题设计采用NE555产生计时信号,定时给出方波脉冲信号,同时采用CD4017集成芯片实现三种信号灯的自动循环功能,以及利用或门真值表功能实现简易交通灯的设计。此简易交通灯可以而且仅可以控制一条交通道路,主要实现红,黄,绿,红,黄,绿单向交通灯循环控制,但是同样可以改动电路从而实现红,黄,绿,黄,绿双向交通灯循环控制;而在时间控制上,红,黄,绿亮灯时间上取为2:1:2。 经过一系列分析准备,本次课题设计除了在手动控制上存在一些不足外,已完成电路设计要求。 关键字:循环控制计时电路译码电路 NE555 CD4017

目录(页码要调整下) 前言 (4) 第一章设计内容与要求 (5) 第二章简易交通灯设计方案 (5) 2.1基本要求设计方案..........................................,5 2.2提高要求设计方案..........................................,6 第三章系统组成及工作原理. (6) 3.1 系统组成 (6) 3.2 工作原理 (7) 第四章简易交通灯设计方案单元模块电路设计 (8) 4.1电源电路 (8) 4.2译码电路 (9) 4.3 光源电路 (10) 4.3.1红黄绿单向循环 (10) 4.3.2红黄绿黄红双向循环 (11) 第五章实验调试和分析 (12) 结论 (13) 参考文献 (14) 附录一 (14) 附录二 (15) 附录三 (16)

交通灯控制器设计(课题设计)

题目______ ___________ 班级___ _____________ 学号_______ _____________ 姓名__________ ______________ 指导_______ _ ______________ 时间___ ____________

电子技术课程设计任务书

目录 1、总体方案与原理说 明... ..... .. . . .. .. . .. .. ... . . ... .... .. . . . ... . . .. . .1 2、C l o ck的分频电 路. . .. . . ... . .. ..... . .. ... .. . . . . .. . . ....2 3、数码管显示电 路. . . . ... .... .. . .. . . . .. . .. .. . . ....... . .. 3 4、主控制电 路. . . .. .. . .. .. . ... . .... .. . .. . . . . . . . . . .. .. .4 5、交通灯显示电 路. . ... . ...... . ... ...... . . . . . . . .. .

.............56、总体电路原理相关说 明. .. . . .. . . . .. . .. . . . . . . .. ...... . . .. .6 7、总体电路原理 图..... . .. .. .... . ..... . ... . . .. . ...... (7) 8、元件清 单. . . . .. . . . .. ... . ...... . . . . . . . .. . . . . ... . . . . .8 参考文献. .. .. .. ... . .. .. . . ... .. . ... . . . .. . .. . . . .. ... ... . .... . ...... ....9 设计心得体 会. . . . . .. . . . .. ... . . .... ... ... .. ..... .. . . . . . . . ... . ... ... ..10

基于FPGA下的交通灯控制器设计

引言 随着城乡的经济发展,车辆的数量在迅速的增加,交通阻塞的问题已经严重影响了人们的出行。 现在的社会是一个数字化程度相当高的社会,很多的系统设计师都愿意把自己的设计设计成集成电路芯片,芯片可以在实际中方便使用。随着EDA技术的发展,嵌入式通用及标准FPGA器件的呼之欲出,片上系统(SOC)已经近在咫尺。FPGA/CPLD 以其不可替代的地位及伴随而来的极具知识经济特征的IP芯片产业的崛起,正越来越受到业内人士的密切关注。FPGA就是在这样的背景下诞生的,它在数字电路中的地位也越来越高,这样迅速的发展源于它的众多特点。交通等是保障交通道路畅通和安全的重要工具,而控制器是交通灯控制的主要部分,它可以通过很多种方式来实现。在这许许多多的方法之中,使用FPGA和VHDL语言设计的交通灯控制器,比起其他的方法显得更加灵活、易于改动,并且它的设计周期性更加短。 城市中的交通事故频繁发生,威胁着人们的生命健康和工作生活,交通阻塞问题在延迟出行时间的同时,还会造成更多的空气污染和噪声污染。在这种情况下,根据每个道路的实际情况来设置交通灯,使道路更加通畅,这对构建和谐畅通的城市交通有着十分重要的意义。

第一章软件介绍 1.1 QuartusⅡ介绍 本次毕业设计是基于FPGA下的设计,FPGA是现场可编程门阵列,FPGA开发工具种类很多、智能化高、功能非常的强大。可编程QuartusⅡ是一个为逻辑器件编程提供编程环境的软件,它能够支持VHDL、Verilog HDL语言的设计。在该软件环境下,设计者可以实现程序的编写、编译、仿真、图形设计、图形的仿真等许许多多的功能。在做交通灯控制器设计时选择的编程语言是VHDL语言。 在这里简单的介绍一下QuartusⅡ的基本部分。图1-1-1是一幅启动界面的图片。在设计前需要对软件进行初步的了解,在图中已经明显的标出了每一部分的名称。 图 1-1-1 启动界面 开始设计前我们需要新建一个工程,首先要在启动界面上的菜单栏中找到File,单击它选择它下拉菜单中的“New Project Wizard”时会出现图1-1-2所显示的对话框,把项目名称按照需要填好后单击Next,便会进入图 1-1-3 显示的界面。

简易交通灯控制电路的设计

沈阳航空航天大学 程设计 (说明书) 课程设计任务书 课程名称电子线路课程设计 课程设计题目 _________ 简易交通灯控制电路的设计 ___________ 课程设计的内容及要求: 一、设计说明与技术指标 1东西方向绿灯亮,南北方向红灯亮,时间25s。 2. 东西方向与南北方向黄灯亮,时间5s。 3?南北方向绿灯亮,东西方向红灯亮,时间15s。 4.如果发生紧急事件,可以手动控制四个方向红灯全亮,禁止该道路的车辆通行, 特殊情况过后能恢复正常。 二、设计要求 1. 在选择器件时,应考虑成本。 2. 根据技术指标,通过分析计算确定电路和元器件参数。 3. 画出电路原理图(元器件标准化,电路图规范化)。

三、实验要求 1. 根据技术指标制定实验方案;验证所设计的电路,用multisim软件仿真 2. 进行实验数据处理和分析。 四、推荐参考资料 1.童诗白,华成英主编.模拟电子技术基础.[M]北京:高等教育出版社,2006 年 2?阎石,数字电子技术(第五版).[M]北京:高等教育出版社,2005. 3. 陈孝彬,《555集成电路实用电路集》.高等教育出版社2002-8. 4. 王刚《TTL集成电路应用》.机械工业出版社2000-10. 五、按照要求撰写课程设计报告

成绩评定表: 指导教师签字: 年月日

一、 概述 简易交通灯电路是脉冲数字电路的简单应用,设计了交通信号灯不同方向在不同 的时间倒计时内,亮不同的的信号灯的功能,同时应用了七段数码管来显示时间。此 交通灯可以在实现东西、南北方向红黄绿灯的颜色转换,接下来就是按照4个阶段循环 发光,并且如果发生紧急事件看,可以手动控制四个方向红灯全亮,禁止该道路的车 辆通行,特殊情况过后才能恢复正常。这在当今社会交通中具有广泛的应用价值,有 效的减少交通拥堵和交通事故。 本电路主要有四个模块构成:555脉冲发生器、5倍分频器、倒计数器、主控制电 路和手动控制电路。整个电路是有秒脉冲提供脉冲,有计数器进行计数功能向译码显 示电路显示倒计时,而且也控制三种灯泡的发光。 二、 方案论证 2.1设计思路 根据设计要求,十字路口车辆运行情况只有4种可能:1)设开始时东西方向绿灯 通行,南北红灯不通行,持续时间为 25s 。2)25s 后,东西方向绿灯变黄灯,南北方 向红灯保持不变,持续时间为 5s 。3)5s 后,东西方向黄灯变红灯禁止通行,南北方 向红灯变绿灯通行,持续时间为15s 。4)15s 后,东西方向红灯不变禁止通行,南北 方向绿灯变黄灯,5s 后又回到第一种情况,如此循环反复。因此,要求主控制电路也 有4种状态。其控制原理框图如图1所示。 图1简易交通灯电路的原理框图

基于EDA十字路口交通灯控制器设计

十字路口交通灯控制器设计 一、实验目的 1、进一步加强经典状态机的设计 2、学会设计模可变倒计时计数器 二、实验要求 一条主干道,一条乡间公路。组成十字路口,要求优先保证主干道通行。有MR(主红)、MY(主黄)、MG(主绿)、CR(乡红)、CY(乡黄)、CG(乡绿)六盏交通灯需要控制;交通灯由绿→红有4秒黄灯亮的间隔时间,由红→绿没有间隔时间;系统有MRCY、MRCG、MYCR、MGCR四个状态; 乡间公路右侧各埋有一个传感器,当有车辆通过乡间公路时,发出请求信号S=1,其余时间S=0; 平时系统停留在MGCR(主干道通行)状态,一旦S信号有效,经MYCR(黄灯状态)转入MRCG(乡间公路通行)状态,但要保证MGCR的状态不得短于一分钟;一旦S信号无效,系统脱离MRCG状态。随即经MRCY(黄灯状态)进入MGCR 状态,即使S信号一直有效,MRCG状态也不得长于20秒钟。 三、实验思路 1、设计一个状态寄存器,控制六盏灯的亮与灭 2、设计一个计时器,控制各状态的持续时间,计时器应满足以下要求: 1)当S=1,且计数器已完成60计数时,计数器进入模4计数,随后进入模20计数,再进入模4计数,再回到模60计数 2)当计数器进行摸20计数时,一旦S变为0,计数器立马进入模4计数,再进入模60计数 3)完成模20计数后,不论S为0或1,计数器进入模4计数,再进入模60 计数 4)若计数器未完成模60计数,不论S如何变话,计数器将继续进行模60 计数 3、使用文本设计底层文件,并生成相应元器件,再使用原理图设计顶层文件 四、实验步骤 1、建立工作库文件夹和编辑设计文件 1)建立一个文件夹保存工程文件; 2)打开QuartusII,建立新的VHDL文件,再打开的页面下输入以下程序 控制6盏灯的模块代码: LIBRARY IEEE;

交通灯控制器___EDA课程设计实验报告

目录 1课程设计要求 (3) 2 电路功能描述 (3) 3 设计方案 (3) 4设计原理图 (4) 5 VHDL语言 (4) 6仿真截图 (6) 7心得体会 (11) 8参考文献 (11)

1. 课程设计要求 1.1.红、黄、绿灯分别控制显示; 1.2.每一个状态分别分配一个时间显示(两位十进制,倒计时); 1.3.符合实际交通规律。 2.电路功能描述 本设计是实现交通灯的控制,模拟实现了红、绿、黄灯指挥交通的功能。本设计适用东西和南北方向的车流量大致相同的路口,红灯显示时间30S,绿灯显示时间25S,黄灯显示时间5S,同时用数码管指示当前的状态(红、绿、黄灯)的剩余时间。当有紧急状况发生时,两个方向都禁止通行,并且显示红灯,当紧急状况解除后,重新计时并且指示时间。 3.设计方案 根据设计要求,需要控制显示红、黄、绿三个灯的亮灭状态及显示的时间。这个设计主要由两部分组成,红黄绿灯的显示模块,显示时间模块。由实际的交通情况可知,东西方向的显示情况是一致的,南北方向的显示情况也是一致,故在设计的时候就只考虑两种状态,将东西方向合成一种,南北方向合成一种。红黄绿灯的显示模块用两组共6个灯显示,时间显示模块用LED数码管显示。 此外,本交通灯控制器设置的红黄绿显示方式是参照一些城市的显示规律,红灯30S,绿灯25S,黄灯5S,同时用数码管指示当前状(红、绿、黄灯)的剩余时间。另外还设有一个紧急状态,当特殊情况发生时,两个方向都禁止通行,指示红灯,紧急状态解除后,重新计时并指示时间。时间采用倒计时的方式显示。 本设计采用VHDL语言编程,描述各个硬件模块实现的功能,使红、黄、绿灯的转换有一个准确的转换顺序和时间间隔,并进行仿真,通过仿真的结果,得出实验的结果。 在正常情况下的一个完整周期内,交通灯控制器系统一共有四种状态,分别是东西红、南北绿,东西红、南北黄,东西绿、南北红,东西黄、南北红。其运行方式为东西红、南北绿→东西红、南北黄→东西绿、南北红→东西黄、南北绿,东西黄、南北绿结束后再回到东西红、南北绿的状态,整个周期持续60s。urgency 为紧急控制信号,为高电平时系统转换为东西南北均是红灯亮的状态,状态结束后系统重新设置,转换为东西红,南北绿的状态。

简易交通灯控制逻辑电路设计

电子技术课程设计报告题目:简易交通灯控制逻辑电路设计 学生姓名: 学生学号: 年级: 2014级

专业:自动化 班级:(1)班 指导教师: 机械与电气工程学院制 2017年5月 简易交通灯控制逻辑电路设计 机械与电气工程学院自动化专业 1设计的任务与要求 1.1 课程设计的任务 本系统由单片机系统、数码管显示、交通灯显示系统组成。系统除基本的交通功能外,还具有倒计时。东西、南北两个干道交于一个十字路口,各干道有一组红、绿、黄三色的指示灯。红灯亮禁止通行,绿灯亮允许通行。黄灯亮提示人们注意红、绿灯的状态即将切换。 1.2 课程设计的要求 本次课程设计实现的交通灯是带有额外的功能,预期实现的主要功能如下。 (1)具有时间显示功能,就是数码管倒计时功能; (2)红绿灯具有两种状态,南北直行,东西直行;

(3)具有模式转换功能,切换到不同状态,交通灯通行时间不一样; 程序开始运行先南北段通行、东西段禁止60s,后东西段通行、南北段禁止60s,依此循环。系统分三种工作模式:正常模式、繁忙模式、特殊模式,并且通过三个按钮“正常”、“繁忙”、“特殊”可相互转化。 正常模式:直行时间显示数码管显示60。此时南北段直行通行(绿灯)、东西段禁止(红灯)60s,倒计时到3s时,黄灯亮,提醒人们注意了。然后是东西段通行(绿灯),南北段禁行(红灯),一直循环下去。 繁忙模式:南北段、东西段的通行时间改为30s,其它与正常模式类似。 特殊模式:特殊模式红灯全亮,倒计时20s,到最后3秒黄灯闪3次后并转入正常模式。 2 简易交通灯控制逻辑电路设计方案制定 2.1 简易交通灯控制逻辑电路设计的原理 系统上电或手动复位之后,系统先显示状态灯及LED数码管,将状态码值送显P1口,将要显示的时间值的个位和十位分别送显P0口,在此同时用软件方法计时1秒,到达1s就要将时间值减1,刷新LED数码管。 时间到达一个状态所要全部时间,则要进行下一状态判断及衔接,并装入次状态的相应状态码值以及时间值,当然,还要开启两个外部中断,其一为紧急情况处理中断,一旦信号有效,即K键为低电平时进入中断服务子程序,东西南北路口的保持现有通行状态,再按一下K键,中断结束返回。其二为通行时间调整中断,若按键有效,进入相应的中断子程序,对时间进行调整,可延长或减少某一路段的通行时间,此后再按键则中断结束返回。 2.2 简易交通灯控制逻辑电路设计的技术方案

简易交通灯控制器的设计报告

一、概述 交通灯在人类道路交通发展过程中扮演着非常重要的角色,而我国是一个人口超 级大国,汽车工业的发展正在快速增长的阶段,因此限制车辆的增加不是解决问题的好方法。而采取增加供给,即大量修筑道路基础设施的方法,在资源、环境矛盾越来越突出的今天,面对越来越拥挤的交通,有限的源和财力以及环境的压力,也将受到限制。这就需要依靠除限制需求和提供道路设施之外的其他方法来满足日益增长的交通需求。 智能交通灯系统正是解决这一矛盾的途径之一。对城市交通流进行智能控制,可以使道路畅通,提高交通效率。合理进行交通灯控制可以对交通流进行有效的引导和调度,使交通保持在一个平稳的运行状态,从而避免或缓和交通拥挤状况,大大提高交通运输的运行效率,还可以减少交通事故,增加交通安全,降低污染程度,节省能 源消耗,本文就是通过对交叉路口交通灯的智能控制,达到优化路口交通流的目的。 二、方案论证 设计一个简单的交通灯控制器。实际上就是四个平时状态加上一个紧急状态。我们不妨设: S1:南北方向红灯亮,东西方向绿灯亮,时间15s; S2:南北方向红灯亮,东西方向黄灯亮,时间3s; S3:南北方向绿灯亮,东西方向红灯亮,时间l5s; S4:南北方向黄灯亮,东西方向红灯亮,时间3s; S5(紧急状态):如果发生紧急事件,可以手动控制四个方向红灯全亮。 图1 主电路状态与指示灯状态转换

S5的紧急状态,我们可以设计一个开关来控制这个状态的开启与关闭。剩余的四个状态我们可以放在一起来综合考虑。 因为四个状态是轮换的。首先,用10进制计数器对平时状态下的四种情况进行计数,再用3线——8线译码器对这四种状态进行编码,之后控制四个方向的二极管(代替红绿灯)的亮暗。其次,1Hz脉冲信号我们可以用555时基电路构成的多谐振荡器来实现。555定时器内部的比较器灵敏度高,输出驱动电流大,功能灵活,而且采用差分电路形式,它的振荡频率受电源电压和温度的影响很小。由555定时器构成的多谐振荡器的振荡频率稳定,不易受干扰。再者,用两片十进制可逆计数器来显示黄绿灯亮的秒数。 最后,就可以基本实现红绿灯的简单控制。 图2 红绿灯控制器的基本流程图 三、电路设计 1.脉冲产生电路 脉冲是由555时基电路构成的多谐振荡器产生的。选取两个固定电阻,计算出电容,使其频率为1Hz,其电路图如下:

交通灯信号控制器仿真设计

交通灯信号控制器仿真设计 一、设计目的 1、巩固和加强《数字电子技术》课程的理论知识。 2、掌握电子电路的一般设计方法,了解电子产品研制开发过程。 3、掌握电子电路安装和调试的方法及其故障排除方法,学会用Multisim软 件仿真。 4、通过查阅手册和文献资料,培养学生独立分析问题、解决问题以及团队协作能力。巩固所学知识,加强综合能力,提高实验技能,启发创新能力的效果 5、培养学生创新能力和创新思维。让学生通过动手动脑解决实际问题,巩固课程中所学的理论知识和实验技能。 二、设计要求 1、设计一个十字路口的交通灯控制电路,要求东西方向和南北方向车道两条交叉道路上的车辆交替运行,每次通行时间都设为45s。时间可设置修改。 2、在绿灯转为红灯时,要求黄灯先亮5s,才能变换运行车道。 3、黄灯亮时,要求每秒闪亮一次。 4、东西方向、南北方向车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用显示器进行显示。 5、假定+5V电源给定。 三、总体概要设计 设计一个十字路口的交通灯控制电路,要求东西方向和南北方向车道两条交叉道路上的车辆交替运行,每次通行时间都为45s,每次绿灯变红时黄灯先亮5秒。该交通灯控制系统的总体设计方案如下图所示所示。90进制加法计数器作为该系统的主控制电路,控制东西方向和南北方向交通的及LED显示,秒信号发生器产生整个定时系统的时间脉冲,通过加法计数器对秒脉冲加计数,当到达固定时刻,控制LED显示的减法计数器进行数制转换,交通灯做出相应的变化。 交通灯控制系统的总体设计方案 电

电路流程图 四、局部细节设计 一、秒脉冲电路部分

二、主控电路(89进制加法计数器)部分 三、东西方向减法计数器及LED显示部分

相关主题
文本预览
相关文档 最新文档