当前位置:文档之家› 基于DDS技术的简易波形发生器实验报告

基于DDS技术的简易波形发生器实验报告

基于DDS技术的简易波形发生器实验报告
基于DDS技术的简易波形发生器实验报告

简易波形发生器实验报告

一、实验目的

1、了解电子系统的设计方法。

2、通过实验,熟悉和掌握AT89S52单片机的外围电路。

3、掌握单片机和数码管、键盘的接口电路和数码管的驱动方式。

4、掌握数模转换器DAC0800的原理、使用方法以及和单片机的接口。

5、掌握DDFS(直接数字频率合成)的原理和其在单片机内的实现方法。

6、学会用EDA软件(Protel99se或ORCAD)进行电路原理图和PCB图

的绘制。

7、学习用PSPICE、 Multisim 8等仿真软件进行电路设计和仿真。

行计和仿真。

二、实验任务和要求

(一)实验任务

基本部分:

1、具有产生正弦波、方波和三角波三种类型波形的功能。(25分)

2、输出频率范围为40Hz~1KHz,步进40Hz。(15分)

3、输出波形幅度范围0~5V(峰-峰值),可在范围内任意调整。(5分)

4、输出波形稳定且无明显失真。(5分)

发挥部分:

1、输出波形频率范围扩展至100Hz~200kHz。(10分)

2、增加稳幅输出功能,当负载变化时,输出电压幅度变化不大于±3%(负

载电阻变化范围:100?~∞)。(4分)

3、具有掉电存储功能,可存储掉电前用户的设置。(4分)

4、用液晶和键盘制作良好的人机交互界面。(2分)

说明:发挥部分可采用可编程逻辑器件FPGA结合单片机最小系统进行设计,完成后可在实验中心的EDA综合实验箱上下载调试。

(二)实验要求

1. 先在一周内交设计报告初稿(电路原理图,程序流程图),实验完成后交

实验报告。分数:30分

2. 基本部分:70分,(其中布局:10分,提问:10分)

3. 发挥部分:20分(附加)

三、实验仪器设备及元器件清单

1、实验仪器:

20M数字示波器、数字万用表、带面包板的数字电路实验箱

2、实验所用器件:

元件名称数量元件名称数量元件名称数量

单片机AT89S52 1 按键 3 电解电容22uF 1

数码管LED-4P共阴 1 发光二极管 3 电解电容10uF 3

非门74LS04 1 晶振24M 1 瓷片电容30pF 2

数模转换芯片DAC0800 1 八位排阻510欧 1 瓷片电容0.1uF 15

运算放大器OP07 1 电阻1k/10k 1/2 1000Pf 1 电位器5k 1 510欧电阻 3 5.1k电阻 4

四、实验完成具体情况

1)程序设计简单思路:

为实现波形输出,我们采用的无疑也是DFS.正弦波和三角波我们都是取了500个点.把定时间隔设为0.05ms,每中断一次输出一个点.经过计算,如果步长为1,则可以得到最低频率40HZ,如果将步长调整为25的话则可以得到1KHZ的频率.这样,频率变化的步长就是40HZ.

显示仍采用循环动态显示的方法.扫描的时间间隔设为4ms经过实验,证明这样的间隔可以得到很好的效果.人眼感觉不到这种动态效果.

为了有效防止键盘抖动,我们给读键盘专门设置了一个计数器,使读键盘的时间间隔为了10ms.经过实验,证明这样的设置可以得到较好的效果,在这之间,我们做了很多次反得试验,最后确定这个指标.

有关DAC的电路接法,我们是参考从网上下载的资料进行连线的.己经过实验验证.

2)电路原理图:

为了更明了地说明我们的设计方案,现将电路原理(图一)所示.该图为PROTEL所画.

3)仿真:

另外,我们还对数字部分进行了仿真.应用的软件为PROTUEL..其图如(图二)所示.

4)程序源代码:

程序是自己在单片机程序的基础上改写的,反复调试多次,最后通过.源代码如下.

/*********************************************************/

/** 波形发生器**/

/** 作者:曹锐于关老师基础上**/

/** National University of Defence Technology **/

/** **/

/*********************************************************/

#include

#include

#include

#define uchar unsigned char

#define uint8 unsigned char

#define uint16 unsigned int

sbit LED1=P1^1; //数码管

sbit LED2=P1^2;

sbit LED3=P1^3;

sbit LED4=P1^4;

sbit key1=P1^5;//键盘

sbit key2=P1^6;

sbit key3=P1^7;

uint8 step=1;//步进,随频率变化

uint16 temp=0;//相位

uchar aaa=0;//波的种类

uint16 fre;//波的频率

#define sendwave P2//波形送出口

#define display P0//显示内容输出端口

#define sltld P1//选通LED所用变量

uchar code sin[]={127,126,124,123,121,119,118,116,115,113,111,110,108,

107,105,104,102,100,99,97,96, 94, 93,91, 90, 88, 87, 85, 83, 82, 80, 79, 78, 76, 75, 73, 72, 70, 69, 67, 66, 65, 63,

62, 60, 59, 58, 56, 55, 54, 52, 51, 50, 49, 47, 46, 45, 44,

42, 41, 40, 39, 38, 37, 36, 34, 33, 32, 31, 30, 29, 28, 27,

26, 25, 24, 23, 22, 21, 21, 20, 19, 18, 17, 16, 16, 15, 14,

13, 13, 12, 11, 11, 10, 9, 9, 8, 8, 7, 7, 6, 6, 5,

5, 4, 4, 4, 3, 3, 2, 2, 2, 2, 1, 1, 1, 1, 1,

0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 1,

1, 1, 1, 1, 2, 2, 2, 2, 3, 3, 3, 4, 4, 5, 5,

5, 6, 6, 7, 7, 8, 9, 9, 10, 10, 11, 12, 12, 13, 14,

15, 15, 16, 17, 18, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27,

28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 41, 42, 43,

44, 45, 47, 48, 49, 50, 52, 53, 54, 56, 57, 58, 60, 61, 62,

64, 65, 67, 68, 70, 71, 72, 74, 75, 77, 78, 80, 81, 83, 84,

86, 87, 89, 90, 92, 93, 95, 97, 98, 100,101,103,104,106,108 ,

, ,111,112,114,115,117,119,121,122,123,125,127,128,130,132 109

133,135,136,138,140,141,143,144,146,147,149,151,152,154,155, 157,158,160,162,163,165,166,168,169,171,172,174,175,177,178, 180,181,183,184,185,187,188,190,191,193,194,195,197,198,199, 201,202,203,205,206,207,208,210,211,212,213,214,216,217,218, 219,220,221,222,223,224,225,226,227,228,229,230,231,232,233, 234,235,236,237,237,238,239,240,240,241,242,243,243,244,245, 245,246,246,247,248,248,249,249,250,250,250,251,251,252,252,

252,253,253,253,253,254,254,254,254,254,255,255,255,255,255, 255,255,255,255,255,255,255,255,255,254,254,254,254,254,253, 253,253,253,252,252,251,251,251,250,250,249,249,248,248,247, 247,246,246,245,244,244,243,242,242,241,240,239,239,238,237, 236,235,234,234,233,232,231,230,229,228,227,226,225,224,223, 222,221,219,218,217,216,215,214,213,211,210,209,208,206,205, 204,203,201,200,199,197,196,195,193,192,190,189,188,186,185, 183,182,180,179,177,176,175,173,172,170,168,167,165,164,162, 161,159,158,156,155,153,151,150,148,147,145,144,142,140,139, 137,136,134,132,131,129,128};

uchar code tri[]={250,249,248,247,246,245,244,243,242,241,240,239, 238,237,236,235,234,233,232,231,230,229,228,227,226,225,224,223,

222,221,220,219,218,217,216,215,214,213,212,211,210,209,208,

207,206,205,204,203,202,201,200,199,198,197,196,195,194,193,

192,191,190,189,188,187,186,185,184,183,182,181,180,179,178,

177,176,175,174,173,172,171,170,169,168,167,166,165,164,163,

162,161,160,159,158,157,156,155,154,153,152,151,150,149,148,

147,146,145,144,143,142,141,140,139,138,137,136,135,134,133,

132,131,130,129,128,127,126,125,124,123,122,121,120,119,118,

117,116,115,114,113,112,111,110,109,108,107,106,105,104,103,

102,101,100,99,98,97,96,95,94,93,92,91,90,89,88,

87,86,85,84,83,82,81,80,79,78,77,76,75,74,73,

72,71,70,69,68,67,66,65,64,63,62,61,60,59,58,

57,56,55,54,53,52,51,50,49,48,47,46,45,44,43,

,

42,41,40,39,38,37,36,35,34,33,32,31,30,29,28

27,26,25,24,23,22,21,20,19,18,17,16,15,14,13,

12,11,10,9,8,7,6,5,4,3,2,1,0, 1, 2,

3,4,5,6,7,8,9,10,11,12,13,14,15,16,

17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,

32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,

47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,

62,63,64,65,66,67,68,69,70,71,72,73,74,75,76,

77,78,79,80,81,82,83,84,85,86,87,88,89,90,91,

92,93,94,95,96,97,98,99,100,101,102,103,104,105,106,

107,108,109,110,111,112,113,114,115,116,117,118,119,120,121,

122,123,124,125,126,127,128,129,130,131,132,133,134,135,136,

137,138,139,140,141,142,143,144,145,146,147,148,149,150,151,

152,153,154,155,156,157,158,159,160,161,162,163,164,165,166,

167,168,169,170,171,172,173,174,175,176,177,178,179,180,181,

182,183,184,185,186,187,188,189,190,191,192,193,194,195,196,

197,198,199,200,201,202,203,204,205,206,207,208,209,210,211,

212,213,214,215,216,217,218,219,220,221,222,223,224,225,226,

227,228,229,230,231,232,233,234,235,236,237,238,239,240,241,

242,243,244,245,246,247,248,249 };

/*扫描键盘使用的变量 */

uint16 counter=1;

uint16 counter1=1; //读键盘计数器,每16ms 刷新读一次 bit getkey = 0; //获得有效键值标志位 等于1时代表得到一个有效键值

bit keyon = 0; //防止按键冲突标志位 uchar keynum =0; //获得的有效按键值寄存器

uchar key;

/*数码管显示使用的变量和常量*/

uchar lednum = 3; //数码管显示位控制寄存器 uchar led[4] = {0,0,0,0}; //数码管显示内容寄存器

uchar code segtab[18] = {0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f, 0x77,0x7c,0x39,0x5e,0x79,0x71,0x73,0x00}; //七段码段码表

// "0", "1", "2", "3", "4", "5", "6", "7", "8", "9", "A", "B", "C", "D", "E", "F", "P" ,"black"

void leddisp(void); //数码管显示函数 void readkey(void); //读键盘函数

void init_condition(void);//初始化函数

void intT0() interrupt 1 //T0 定时中断处理函数 { TH0 = 0xff; //定时器中断时间间隔 0.05ms TL0 = 0x9c;

counter1=counter1+1; counter=counter+1; temp =temp+step; if(temp>=500)

temp =temp-500; switch(aaa) {

case 0:

sendwave =sin[temp]; break; case 1:

sendwave=tri[temp]; break; case 2:

if(temp>=250)

sendwave =0xff; else

sendwave =0x00; break; }

if(counter ==81) counter =1;

if(counter ==40||counter ==80) {

fre =

20*step; led[0]=aaa; led[1]=

fre/100;

led[2]=

(fre%100)/10; led[3]=fre%10;

leddisp(); } if(counter1==2001)

counter1=

1; if(counter1==2000) readkey();

//counter =

counter+1; }

void main(void) {

TMOD = 0x01; //

TH0 = 0xff; //定时器中断时间间隔 0.05ms TL0 = 0x9c; TCON = 0x10; ET0 = 1; EA = 1;

while(1) {

if(getkey==1) {

getkey=0;

switch(keynum) { case 0:

init_condition(); break; case 1:

{

aaa =

aaa+1;

if(aaa ==3)

aaa =0;

}

break; case 2: { step=step+1; if(step ==51) step=1; } break; } }

}

}

/***************************************************

键盘扫描函数 原型: void readkey(void); 功能: 当获得有效按键时,令getkey=1,keynum 为按键值

****************************************************/ void readkey(void) {

if(!(key1==1&&key2==1&&key3==1)) {

if(key1==0) keynum=0;

else if(key2==0) keynum=1; else

keynum=2; getkey=1; }

/***************************************************

数码管显示函数

原型: void leddisp(void);

功能: 每次调用轮流显示一位数码管

****************************************************/ void leddisp(void) {

switch(lednum) //选择需要显示的数码位 {

case 0: sltld =

0xfd;

//11111101b display =segtab[led[0]]+128;

break; case 1:

sltld =0xfb; //11111011b

display =segtab[led[1]]; break; case 2:

sltld =0xf7;//11110111b display =

segtab[led[2]]; break; case 3:

sltld =

0xef; //11101111b display =segtab[led[3]] ; break; } if(lednum == 0) //更新需要现实的数码位 {

lednum = 3; } else {

lednum = lednum-1; } }

void init_condition(void) {

aaa=0; step=1; temp=0;

五、过程结果分析及感悟

(一)调试过程及遇到问题:

我们对整个系统进行检测的顺序是这样的:

程序->键盘显示部分->DAC部->滤波部分.

自然,遇到问题和解决问题的顺序也是与此对应的.

第一次将烧好片子插好之后没有一点反应,马上,我们又烧了一次,这次有反应了,但是程序显然不是按照预先的设想运行的.于是,我们判断,应该是烧片的过程中出现了问题.之后,我请来有一定进展的同学在旁指导烧片.发现在编程的时候原来是报了错的,也就是说,程序没有正常烧入.我们然后多试了几次,最后终于烧成了.这次插好片子四个数码管中有一个不能亮,问题的焦点转移到了显示函数部分.

经过反复检查,发现在送完第二个位码之后SWITCH-CASE语句中少了一名BREAK.这次很快解除了第二个故障.

接下来,在我们观察从DAC的输出波形时,发现除了方波之外,其余的波形输出的全是混乱的阶梯信号.经过反复思考以及同学的提醒,我们发现,原来MPU与DAC的连接顺序是反的,也就是说,把MPU的高位与DAC的低位接在了一起,其它的依此类推.就这样,我们解决了第三个问题.此间,在个小时的时间里,我们被一处电路连接的问题一直困扰,感觉很是惭愧.

解决了上面的问题,已经是当天的八点时分,惓

有些疲,但是我们想一鼓作气把波形调整得再好看一些.于是通过对定时时间的调整,我们一度获得了很好的波形.但是由于病毒感染FLASH和处己误删等原因,在丢失了重要程序之后,我们试图再度调整原来的程序但总没有成功.到时晚上九点钟的时候,实在坚持不了了,我们就勉强拿那个我们并不满意的结果接受了验收.

结果如下:

低频时效果较好,20HZ起步,步进亦为20HZ.当频率到达200HZ以上后,波形不再稳定,但并没有失真.当频率到达500—600HZ以上时出现失真.(当然,这仅仅是指三角波和正弦波)

(二)感言:

这次实验让我们获益不浅.

虽然过程中不少令人遗憾之处.但通过实验,我们的编程能力和动手边线,布局能力得到了很大的提高.同时,我们掌握了很多有关电子系统设计,调试方面的知识和技巧,为我们以后的工作和学习补了很重要的一课.将终生受益.

(另注:由于仿真时如果有DAC就不能正常仿真,所以现在仿真结果是在去掉DAC后得到的.请老师原谅.)

(图一)PROTEL所画电路图)

(图二)PROTUEL所画电路图

仿真截图1(注:高位显示波的类型,低位显示频率)

仿真截图2

基于FPGA的DDS设计

一、实验名称:基于F P G A的D D S信号源设计 二、技术规范: 1.实验目标: 设计一个直接数字频率合成(DDS,Direct Digital Synthesis),DDS是一种新型的频率合成技术。DDS 技术是一种把一系列数字形式的信号通过DAC 转换成模拟信号的合成技术。 DDS 技术具有频率切换时间短,频率分辨率高,频率稳定度高,输出信号的频率和相位可以快速切换,输出相位可连续,并且在改变时能够保持相位的连续,很容易实现频率、相位和幅度的数字控制。它在相对带宽、频率转换时间、相位连续性、高分辨率以及集成化等一系列性能指标方面远远超过了传统频率合成技术。 因此在现代电子系统及设备的频率源设计中,尤其在通信领域,直接数字频率合成器的应用越来越广泛。 2.实现功能: 本实验最后将设计出一个具有频率控制和相位移控制功能的DDS。 3.引脚: 本实验有三个输入端口,8位的频率控制字端口,分别接8个开关按键,8位的相位控制字端口,分别接另外的8个开关按键,系统时钟输入端口;一个8位输出端口,接D/A的输入端口。FPGA板上的时钟频率为50MHz,本实验将其10分频后得到5MHz再使用。 三.总体设计方案; 原理: 实验采用目前使用最广泛的一种DDS 方式是利用高速存储器作查找表,然后通过高速DAC 输出已经用数字形式存储的波形。 图1:DDS 系统的基本原理图 图1中虚方框部分是DDS 的核心单元,它可以采用CPLD/FPGA 来实现。图中的相位累加器由N位全加器和N位累加寄存器级联而成,可对频率控制字的二进制码进行累加运算,是典型的反馈电路。 频率控制字M和相位控制字分别控制DDS 输出正(余)弦波的频率和相位。每来一个时钟脉冲,相位寄存器以步长M递增。相位寄存器的输出与相位控制字相加,其结果作为正(余)弦查找表的地址。正(余)弦查找表的数据存放在ROM中,内部存有一个周期的正弦波信号的数字幅度信息,每个查找表的地址对应于正弦波中0°~360°范围内的一个相位点。查找表把输入的址信息映射成正(余)弦波的数字幅度信号,同时输出到数模转换器DAC 的输入端,DAC输出的模拟信号经过低通滤波器(LPF),可得到一个频谱纯净的正(余)弦波。 DDS 具体工作过程如下:每来一个时钟脉冲clk,N 位全加器将频率控制数据M 与累加寄存器输出的累加相位数据N 相加,把相加后的结果送至累加寄存器的输入端。累加寄存器一方面将上一时钟周期作用后所产生的新的数据反馈到加法器的输入端,以使加法器在下一时钟的作用下继续与频率控制数据M 相加;另一方

DDS实验报告

南京理工大学电类综合实验 实验报告 作者: 徐伟伟学号:314101002254 学院(系):机械工程 专业: 机械制造及其自动化 题目: 直接数字频率合成器(DDS) 指导老师:花汉兵 2015年6月

摘要:本文介绍了直接数字式频率合成器(DDS)的设计以及其附加功能的拓展,主要包括了频率控制、加法电路、相位控制、测频电路、译码显示、输出多种波形(包括正余弦、三角波、锯齿波、方波梯形波)、D/A转换等模块。文中详细说明了实验原理,并用Quartus II 软件对各模块进行电路设计,最后在SmartSOPC 实验箱上演示得到了预期的实验结果。 关键词:DDS 实验原理电路设计Quartus II SmartSOPC Abstract:This paper introduces the design of Direct Digital Frequency Synthesizer (DDS) and its additional function, mainly including the frequency control, add circuit, the phase control, frequency measuring circuit, decoding display and the output of a variety of waveform (including cosine, triangular wave, sawtooth wave and square wave), D/A conversion etc. In this paper, the experimental principle is described in detail, and the circuits of each module are designed with the use of Quartus II software. Finally, the desired results are presented on the SmartSOPC experiment box. Key words: DDS, experiment principle, circuit design, Quartus II, SmartSOPC

DDS信号发生器电路设计

1. 信号产生部分 1.1 频率控制字输入模块 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity ddsinput is port(a,b,c,clk,clr:in std_logic; q1,q2,q3,q4,q5:buffer unsigned(3 downto 0)); end ddsinput; architecture a of ddsinput is signal q:std_logic_vector(2 downto 0); begin q<=c&b&a; process(cp,q,clr) begin if clr='1'then q1<="0000";q2<="0000";q3<="0000";q4<="0000";q5<="0000"; elsif clk 'event and clk='1'then

DDS信号信号发生器电路设计 case q is when"001"=>q1<=q1+1; when"010"=>q2<=q2+1; when"011"=>q3<=q3+1; when"100"=>q4<=q4+1; when"101"=>q5<=q5+1; when others=>NULL; end case; end if; end process; end a; 1.2 相位累加器模块 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity xiangwei is port(m:in std_logic_vector(19 downto 0); clk,clr:in std_logic; data:out std_logic_vector(23 downto 0)); end xiangwei; architecture a of xiangwei is signal q:std_logic_vector(23 downto 0); begin process(clr,clk,m,q) begin if clr='1'then q<="000000000000000000000000"; elsif (clk'event and clk='1')then q<=q+m; end if; data<=q; end process; end a;

机械设计实验报告

前言 一、实验课目的 本课程实验课目的在于:验证、巩固和加深课堂讲授的基本理论,加强理论联系实际及独立工作能力的培养;掌握一些最基本的机械实验方法、测量技能及用实验法来测定一些机械参数的能力;以及培养学生踏实细致、严肃认真的科学作风。因此,实验课是一个不可缺少的重要环节,每个学生必须认真对待,在课前进行预习,在课后分析试验结果,写成正规的实验报告。实验课为评定学生成绩的一部分。 二、实验前的准备工作 为了保证实验顺利进行,要求在实验前做好准备工作,教师在实验前要进行检查和提问,如发现有不合格者,提出批评,甚至停止实验的进行,实验准备工作包括下列几方面内容: 1.预习好实验指导书:明确实验的目的及要求;搞懂实验的原理;了解实验进行的步骤及主要事项,做到心中有底。 2.准备好实验指导书中规定自带的工具、纸张。 3.准备好实验数据记录表格。表格应记录些什么数据自拟。 三、遵守实验室的规章制度 1.验前必须了解实验设备、仪器的使用性能、操作规程及使用须知,否则不得操作。 2.严格按照规定,精心操作设备、仪器。 3.实验室内与本实验无关的设备与仪器,一律不得乱动。 4.在实验室严守纪律,不得高声谈笑,保持室内整洁。 5.实验完毕后,用过设备、仪器放回原处,并整理清洁、经教师同意后才得离开。 四、实验报告 实验报告是对实验所有数据、现象进行整理,分析得出一定结论与看法的书面文件。学生在实验后必须按照要求,整理并分析处理所的结果,写成正规的实验报告。 为了写好实验报告,提出以下几点: 1.实验结果记录应经实验指导教师过目签字,并随实验报告一起交上。 2.报告中的结果分析及讨论应力求具体,应针对试验具体情况,防止不切实际的空谈。 3.实验报告要求每人一份。 4.实验报告应在实验完毕后一星期内,由班委汇集交老师。 吉林大学珠海学院 机械工程学院 2018年9月10日

dds实验报告

南京理工大学 电子线路课程设计 --------直接数字频率合成器 学生姓名:林晓峰学号:912104220143 专业:通信工程 指导教师:谭雪琴 2014年12月18日

摘要: 本次实验利用QuartusII7.0软件并采用DDS技术、FPGA芯片和D/A转换器,设计了一个直接数字频率信号合成器,具有频率控制、相位控制、测频、显示多种波形等功能。 并利用QuartusII7.0软件对电路进行了详细的仿真,同时通过SMART SOPC实验箱和示波器对电路的实验结果进行验证。Abstract: This experient introduces using QuartusII7.0software, DDS technology,FPGA chip and D/A converter to design a multi—output waveform signal generator in which the frequency and phase are controllable and test frequency,display waveform. It also make the use of software QuartusII7.0 a detailed circuit simulation, and verify the circuit experimental results through SMART SOPC experiment box and the oscilloscope. 关键词:QuartusII7.0 数字频率信号合成器频率控制相位控制测频示波器 SMART SOPC实验箱 Keywords:QuartusII7.0 multi—output waveform signal- generator frequency controllable phase controllable test frequency oscilloscope Smart SOPC box

典型机构认知实验报告

竭诚为您提供优质文档/双击可除典型机构认知实验报告 篇一:实验一典型机构认识与分析实验 实验九凸轮机构运动分析实验 一、实验目的: 1、熟悉掌握理论与实践相结合的学习方式; 2、培养动手能力和创新意识,培养对现代虚拟设计和现代测试手段的灵活运用能力; 3、通过实测和软件仿真了解不同运动规律的盘形凸轮的运动,了解圆柱凸轮的运动; 4、掌握凸轮廓线的测试方法; 5、通过实测曲线和仿真曲线的对比,分析两者之间差异的原因。 二、JTJs-Ⅲ实验台简介: 1、结构组成 1-安装底座2-凸轮支座3-同步带轮4-同步带5-电机支座6-步进电机 7-齿轮齿条支座8-尖顶从动件9-导轨10-被测凸轮

(盘形)11-圆柱凸轮 12-轴承座13-齿条14-小齿轮15-齿轮支架16-角位移传感器 图1JTJs-Ⅲ实验台结构组成 2、主要技术参数 1)凸轮原始参数: 盘形凸轮机构 1#凸轮:等速运动规律 凸轮基圆半径ro=40mm;尖顶从动件; 推杆升程h=15mm; 推程转角Ф=150o、远休止角Фs=60o、回程转角Ф,=1620; 凸轮质量m1=0.765㎏。 2#凸轮:等加速等减速运动规律 凸轮基圆半径ro=40mm;尖顶从动件。 推杆升程h=15mm; 推程转角Ф=180o、回程转角Ф,=180o; 凸轮质量m1=0.765㎏。 3#凸轮:3-4-5多项式运动规律 凸轮基圆半径ro=40mm;从动件滚子半径rt=7.5mm; 推杆升程h=15mm; 推程转角Ф=180o、回程转角Ф,=180o;

凸轮质量m1=0.852㎏。 4#凸轮:余弦加速度运动规律 凸轮基圆半径ro=40mm;尖顶从动件; 推杆升程h=15mm; 推程转角Ф=180o、回程转角Ф,=180o;凸轮质量m1=0.768㎏。 5#凸轮:正弦加速度运动规律 凸轮基圆半径ro=40mm;尖顶从动件; 推杆升程h=15mm; 推程转角Ф=150o、远休止角Фs=30o、 回程转角Ф,=150o、近休止角Ф,s=30o;凸轮质量m1=0.768㎏。 6#凸轮:改进等速运动规律 凸轮基圆半径ro=40mm;尖顶从动件; 推杆升程h=15mm; 推程转角Ф=150o、远休止角Фs=30o、 回程转角Ф,=150o、近休止角Ф,s=30o;凸轮质量m1=0.768㎏。 7#凸轮:改进正弦运动规律 凸轮基圆半径ro=40mm;尖顶从动件; 推杆升程h=15mm; 推程转角Ф=150o、

DDS报告

南京理工大学 电子线路设计 ——直接数字频率合成器(DDS)设计 实验报告 作者: 沈爱松学号:912104220234 学院(系):电子工程与光电技术学院 专业: 电子信息工程 实验日期: 11月21—11月28日 2014 年 11 月

摘要 本篇报告主要介绍了用EDA设计完成直接数字频率合成器DDS的过程。该直接数字频率合成器输出的频率及相位均可控制,且能输出正弦、余弦、三角波、锯齿波、方波五种波形,经过转换之后还能在示波器上显示,在控制电路的作用下能实现保持、清零功能,另外还能同时显示输出频率、相位控制字、频率控制字。设计中充分考虑了正余弦函数的特点进行了优化设计,提高了计算精度。并且加入了频率计功能。本设计利用QuartusII 软件进行DDS的设计,最后下载到SmartSOPC实验系统中进行硬件测试。 关键词 QuartusⅡ DDS ROM 测频 AM调制 Abstract This report introduces the EDA design is completed with Direct Digital Synthesis DDS process. The direct digital frequency synthesis of the output frequency and phase can control, and can output sine, cosine, triangle wave, sawtooth, square waveform five, after conversion after also displayed on the oscilloscope, in the role of the control circuit can be Implementation maintained cleared function, and also shows the output frequency, phase control characters, frequency control word.A brand-new algorithm was brought forward considering the symmetry characteristic of sine function, and then applied to optimizing the system which result in the improvement on calculation precision.Already designed according to the audio, will produce A spurious signal and carrier signal AM modulation This design uses DDS QuartusII software design, the final download SmartSOPC experimental system hardware testing. Keywords QuartusⅡ DDS ROM frequencu measuring AM modulation 目录

详细设计方案_DDS

DDS详细设计方案 1.DDS简介: DDS同DSP(数字信号处理)一样,是一项关键的数字化技术。DDS 是直接数字式频率合成器(Direct Digital Synthesizer)的英文缩写。与传统的频率合成器相比,DDS具有低成本、低功耗、高分辨率和快速转换时间等优点,广泛使用在电信与电子仪器领域,是实现设备全数字化的一个关键技术。 一块DDS芯片中主要包括频率控制寄存器、高速相位累加器和正弦计算器三个部分(如Q2220)。频率控制寄存器可以串行或并行的方式装载并寄存用户输入的频率控制码;而相位累加器根据频率控制码在每个时钟周期内进行相位累加,得到一个相位值;正弦计算器则对该相位值计算数字化正弦波幅度(芯片一般通过查表得到)。DDS芯片输出的一般是数字化的正弦波,因此还需经过高速D/A转换器和低通滤波器才能得到一个可用的模拟频率信号。 2.DDS规格: Xxx 3.实现原理 DDS的核心部分是相位累加器,相位累加器有一个累加器和相位寄存器组成,它的作用是再基准时钟源的作用下进行线性累加,当产生溢出时便完成一个周期,即DDS的一个频率周期。其中频率字的位宽为K位,作为累加器的一个输入,累加器的另一个输入端位宽为N位(N>K),每来一个时钟,频率字与累加器的另一个输入相加的结果存入相位寄存器,再反馈给累加器,这相当于每来一个时钟,相位寄存器的输出就累加一次,累加的时间间隔为频率字的时间,输入加法器的位宽为(N-K)位,它与同样宽度的相位控制字相加形成新的相位,并以此作为查找表的地址。每当累加器的值溢出一次,输入加法器的值就加一,相应的,作为查找表的地址就加一,而查找表的地址中保存波形的幅度值,这些离散的幅度值经DAC和PLF便课还原为模拟波形。

DDS信号发生器 实验报告

H a r b i n I n s t i t u t e o f T e c h n o l o g y EDA技术高级应用 实验报告 姓名:禾小鬼 同组人: 学号:16S 班级:信息2班 指导教师:xxx 院系:电信学院

实验一函数信号发生器 一、实验内容 实验内容包括下面两个方面 1.熟悉quartus ii开发环境 第一次接触quartus ii开发环境,首先可以通过新建一个工程熟悉quartus ii的各种基本操作。需要学习的包括以下几个方面:选器件,采用原理图方法画一个电路图实现某种功能,并对这个功能进行行为仿真以验证功能上的正确性。 2.设计一个函数信号发生器 在开始之前,首先要明确设计目的,我们的想要用电路图方法实现设计一个“函数信号发生器”。然后,可以先根据自己的思路想好一个电路图的设计方案,再开始实验。 二实验结果 1.第一步:建立一个新的工程 新建工程的过程中,最重要的是设置器件,不同的器件的设计之间并不兼容。会有一个综合的信息框,注明了我所做的设置,看看没问题就可以了。然后新建一个原理图文件schematic,作为顶层文件,将顶层文件命名为DDS在上面进行画图。 2.第二步:画电路图 本次实验采用软件自带的器件库MegaWizard Plug-in Manager中的器件。自定义3个ROM,并将ROM表中存储事先准备好的三种波形的数据文件,波形数据文件由matlab产生,ROM中存储8bit-32words的数据,包括一个时钟输入,一个5位地址输入和一个7位输出;还需要一个5位计数器,用以输出读取ROM 的地址;一个时钟控制整个电路工作; 我画的电路图,如图1所示。其原理为:三个ROM表存储三种波形数据,整个电路通过时钟控制,时钟每翻转一次,计数器加一,产生一个地址,输入到

机构创新设计实验报告

机构创新设计实验报告 实验课程名称:机械原理 学院:机电学院 专业班级:10机械设计制造及其自动化 学号:101401010124 学生姓名:舒展 2012年6月28日机构创新设计实验报告

一、实验目的 1.通过实际机构的应用设计和搭接加深对不同机构运动特性的 理解; 2.通过对典型机构的组装,掌握活动连接、固定连接的结构和特 点;了解实际机构与机构简图的不同处,避免设计时出现运动 的干涉。 3.通过现场操作,培养实际动手和现场应变能力。 4.通过实验的多方案设计培养发散思维和创新设计能力。 二、实验设备 ZSB-C机构创新设计方案试验台。 三、实验原理 此机构由电动机输出动能,再由皮带动齿轮转动,然后由一个小齿轮和一个大齿轮组合,降低输出速度。 构造一个曲柄摇杆机构,实现将电机转动转变化摇杆传动的功能。 利用曲柄摇杆机构特性设计一个能实现刚体给定位置的机构。 最终实现机构运用原理设计要求。 四、备选方案分析和最终选型方案。 设计好曲柄摇杆机构,可选择设计起重机构、铸造造型机沙箱翻转机构、读数机构、轨迹生成机构及缝纫机踏板机构等。 最终先择实现起重功能的起重机构。 最终选择此方案有2个原因。 五、最终选型方案的分析及选择该方案的理由

(一)实验室设备条件及设备精度限制,不能设计出比较精准的机构,此机构相比读数机构、轨迹生成机构等设计难度低,精度要求低。(二)此机构功能容易得到实现,构造比较简单,利用实验室现有机构实验设备、实验构件,在实验室中能独立完成。 六、实际拼装的机构的机构运动简图 七、实际拼装机构的杆组拆分简图

八、此机构功能 (一)实现起重 可以将重物提升到一个平台上,如:装卸载货物、掉重、起重小轿车等 (二)拔起铸造模型 (三)运送物资 (四)升降台 九、问题及建议 1.此机构的由于组成比较简单,有一些地方的设计不够完美,还需 改善。 2.实验的设备太少了,有时候大家都在做实验的时候实验零件不够 用,这使得我们设计的机构不能完全的成功。 3.设备都生锈了,我建议多对设备进行维护。 4.我们实践动手能力还需要提高,希望学院多安排此类课题设计。 十、实验心得 通过这段时间的机械设计课程设计进一步巩固、加深和拓宽所学的知识;通过设计实践,树立了正确的设计思想,增强创新意思,熟悉掌握了机械设计的一般规律,也培养了分析和解决问题的能力;对自己进行了一个全面的机械设计基本技能的训练。 从开始的传动方案的拟定的总体设计中,让我清楚的了解了自己接下

DDS 直接数字频率合成器 实验报告(DOC)

直接数字频率合成器(DDS) 实验报告 课程名称电类综合实验 实验名称直接数字频率合成器设计 实验日期2015.6.1—2013.6.4 学生专业测试计量技术及仪器 学生学号114101002268 学生姓名陈静 实验室名称基础实验楼237 教师姓名花汉兵 成绩

摘要 直接数字频率合成器(Direct Digital Frequency Synthesizer 简称DDFS 或DDS)是一种基于全数字技术,从相位概念出发直接合成所需波形的一种频率合成技术。本篇报告主要介绍设计完成直接数字频率合成器DDS的过程。其输出频率及相位均可控制,且能输出正弦波、余弦波、方波、锯齿波等五种波形,经过转换后在示波器上显示。经控制能够实现保持、清零功能。除此之外,还能同时显示出频率控制字、相位控制字和输出频率的值。实验要求分析整个电路的工作原理,并分别说明了各子模块的设计原理,依据各模块之间的逻辑关系,将各电路整合到一块,形成一个总体电路。本实验在Quartus Ⅱ环境下进行设计,并下载到SmartSOPC实验系统中进行硬件测试。最终对实验结果进行分析并总结出在实验过程中出现的问题以及提出解决方案。 关键词:Quartus Ⅱ直接数字频率合成器波形频率相位调节 Abstract The Direct Digital Frequency Synthesizer is a technology based on fully digital technique, a frequency combination technique syntheses a required waveform from concept of phase. This report introduces the design to the completion of the process of direct digital frequency synthesizer DDS. The output frequency and phase can be controlled, and can output sine, cosine, triangle wave, square wave, sawtooth wave, which are displayed on the oscilloscope after conversation. Can be achieved by the control to maintain clear function. Further can simultaneously display the value of the frequency, the phase control word and the output frequency. The experimental design in the Quartus Ⅱenvironment, the last hardware test download to SmartSOPC experimental system. The final results will be analyzed, the matter will be put forward and the settling plan can be given at last. Key words:Quartus ⅡDirect Digital Frequency Synthesizer waveform Frequency and phase adjustment

DDS设计实验报告(DOC)

DDS设计实验报告实验名称:直接数字频率合成器 指导老师:花汉兵,姜萍 姓名:陈维兵 学号:114108000808 院系:能源与动力工程学院

目录 目录 (1) 摘要 (2) 正文 一、设计内容 (3) 二、设计原理 (3) 三、设计要求 (5) 四、设计思路以及部分电路图 (6) 五、实验感想 (16) 六、参考书目 (16)

摘要 本文介绍的是数字频率合成器(DDS)的设计以及其附加功能的拓展,附加功能有双通道显示、多波形显示、输出频率测量,另外,本文还介绍了一些在原有数字频率合成器的基础上做一些改进的想法和思路,虽然有的想法并没有实施,但是,作为一种参考也未尝不可。希望本文对读者有所帮助。 关键字:数字频率合成,附加功能,改进想法 Abstract The page introduces the design of the Direct Digital Frequency Synthesizer , which shorts for DDS , and other new more additions of it , the additions includes double-rows vision , wave-patterns vision , measuring of the output frequency , what’s more , this page introduces many more thoughts of improving the system which has been made ,even though the thoughts have not been applied , still they are good references for we and you .Wishing it helpful to you. Keywords: DDS ,addition of the system ,improving thoughts

轴系结构设计实验报告-new1

轴系结构设计实验报告 实验者:同组者: 班级:日期: 一、实验目的 1、熟悉并掌握轴系结构设计中有关轴的结构设计,滚动轴承组合设计的基本方法; 2、熟悉并掌握轴、轴上零件的结构形状及功用、工艺要求和装配关系; 3、熟悉并掌握轴及轴上零件的定位与固定方法; 4、了解轴承的类型、布置、安装及调整方法以及润滑和密封方式。 二、实验设备 1、组合式轴系结构设计分析试验箱。 试验箱提供能进行减速器援助齿轮轴系,小圆锥齿轮轴系及蜗杆轴系结构设计实验的全套零件。 2、测量及绘图工具 300mm钢板尺、游标卡尺、内外卡钳、铅笔、三角板等。 三、实验步骤 1、明确实验内容,理解设计要求; 已知条件(包括传动零件类型、载荷条件、速度条件): 绘制传动零件支撑原理简图: 2、复习有关轴的结构设计与轴承组合设计的内容与方法(参看教材有关章 节); 3、构思轴系结构方案 (1)根据齿轮类型选择滚动轴承型号; 轴承类别选择依据 (2)确定支承轴向固定方式(两端固定或一端固定、一端游动); 轴承轴向固定方式选择依据 (3)根据齿轮圆周速度(高、中、低)确定轴承润滑方式(脂润滑、油润滑); 润滑方式选择依据 (4)选择端盖形式(凸缘式、嵌入式)并考虑透盖处密封方式(毡圈、皮碗、油沟); 密封方式选择依据 (5)考虑轴上零件的定位与固定,轴承间隙调整等问题; 如何定位 选择依据

(6)绘制轴系结构方案示意图。 4、组装轴系部件 根据轴系结构方案,从实验箱中选取合适零件并组装成轴系部件、检查 所设计组装的轴系结构是否正确。 6、将所有零件放入试验箱内的规定位置,交还所借工具。 7、根据结构草图及测量数据,在图纸上绘制轴系结构装配图,要求装配关 系表达正确,注明必要尺寸(如支承跨距、齿轮直径与宽度、主要配合 尺寸),填写标题栏和明细表。 8、写出实验报告。 四、实验结果分析 1、轴上各键槽是否在同一条母线上。 2、轴上各零件(如齿轮、轴承)能否装到指定位置。 3、轴上零件的轴向、周向固定是否可靠。 4、轴承能否拆下。

DDS电路设计

DDS 电路设计

摘要 本文介绍了DDS的原理,给出了用Altera Cyclone 1 EP1CQ240C8 FPGA芯片实现直接数字频率合成器的工作原理、设计思路、电路结 构和仿真结果以及频谱纯度分析。 关键词:直接数字频率合成(DDS);现场可编程门阵列(FPGA);相位累 加器 一、DDS原理概述 1、DDS在基本原理 框图如图所示。它主要由标准参考频率源、相位累加器、波形存储器、数/模转换器、 低通平滑滤波器等构成。其中,参考频率源一般是一个高稳定度的晶体振荡器,其输出信号 用于DDS中各部件同步工作。DDS的实质是对相位进行可控等间隔的采样。 为实现全数字化的频率可调的频率合成器,本系统基于FPGA采用Verilog HDL设计而 成直接数字频率合成器(DDS)。 系统由加法器、累加寄存器、波形存储器、D/A转换器、低通滤波器构成。在FPGA 里面做到的是D/A转换器之前的部分。 图一DDS原理图

DDS 系统的核心是相位累加器,它由一个N 位累加器与N 位相位寄存器构成。时钟脉冲每触发一次,累加器便将频率控制数据与相位寄存器输出的累加相位数据相加,然后把相加后的结果送至相位寄存器的数据输入端。相位寄存器将累加器在上一个时钟作用后所产生的新相位数据反馈到累加器的输入端,以使加法器在下一个时钟的作用下继续与频率控制数据相加。这样,相位累加器在参考时钟的作用下将进行线性相位累加,当相位累加器累加满时,就会产生一次溢出,以完成一个周期性的动作,这个周期就是DDS 合成信号的一个频率周期,相位累加器的溢出频率就是DDS 输出的信号频率。 2、DDS 参数计算 相位寄存器每经过2N/M 个f c 时钟后回到初始状态,相应地正弦查询表经过一个循环回到初始位置,整个DDS 系统输出一个正弦波。 输出正弦波频率: 2 N C out f f M ? = 本设计中,N=10,M 为位宽为32的频率控制字,fc=20Mhz 二、DDS 电路结构设计 1、电路描述 接口信号 名称 位宽 方向 描述 备注 freq 32 输入 输入频率字 reset 1 输入 复位 高电平异步复位 clock 1 输入 时钟 上升沿有效 sinout 8 输出 输出波形 2补码格式 2、电路结构

《机械设计基础》实验报告.

广西科技大学鹿山学院 实验报告 课程名称: 指导教师: 班级: 姓名: 学号: 成绩评定: 指导教师签字: 年月日

实验一机构运动简图的测绘与分析 一、实验目的: 1、根据各种机械实物或模型,绘制机构运动简图; 2、学会分析和验证机构自由度,进一步理解机构自由度的概念,掌握机构自 由度的计算方法; 3、加深对机构结构分析的了解。 二、实验设备和工具; 1、缝纫机头; 2.学生自带三角板、铅笔、橡皮; 三、实验原理: 由于机构的运动仅与机构中所有构件的数目和构件所组成的运动副的数目、类型、相对位置有关,因此,在绘制机构运动简图时,可以撇开构件的形状和运动副的具体构造,而用一些简略符号(见教科书有关“常用构件和运动副简图符号”的规定)来代替构件和运动副,并按一定的比例尺表示运动副的相对位置,以此表明机构的运动特征。 四、实验步骤及方法: l、测绘时使被测绘的机械缓慢地运动,从原动件开始,仔细观察机构的运动,分清各个运动单元,从而确定组成机构的构件数目; 2、根据相联接的两构件的接触特征及相对运动的性质,确定各个运动副的种 类;

3、选定投影面,即多数构件运动的平面,在草稿纸上徒手按规定的符号及构 件的连接次序,从原动件开始,逐步画出机构运动简图。用数字1、2、 3、……。分别标注各构件,用英文字母A、B、C、,……分别标注各运动 副; 4、仔细测量与机构运动有关的尺寸,即转动副间的中心距和移动副导路的方 向等,选定原动件的位置,并按一定的比例画出正式的机构运动简图。 五、实验要求: l、对要测绘的缝纫机头中四个机构即a.压布、b走针、c.摆梭、d.送布,只绘出机构示意图即可,所谓机构运动示意图是指只凭目测,使图与实物成比例,不按比例尺绘制的简图; 2、计算每个机构的机构自由度,并将结果与实际机构的自由度相对照,观察计 算结果与实际是否相符; 3、对绘制的机构进行结构分析(高副低代,分离杆组;确定机构级别等)。 六、思考题: 1、一个正确的机构运动简图应能说明哪些内容? 2、机构自由度的计算对测绘机构运动简图有何帮助?

DDS数字频率合成器实验报告

DDS数字频率合成器 实验报告

摘要 直接数字频率合成器是一种基于全数字技术,从相位出发直接合成所需波形的一种频率合成技术,具有低成本、低功耗、高分辨率和快速转换时间等优点,广泛使用在电信与电子仪器领域,本次实验中,利用QuartusII软件设计一个可控制频率,相位的可输出正弦和余弦的直接数字频率合成器,要求分析整个电路的工作原理,并分别说明各子模块的设计原理,整合各电路,形成总体电路。完成调试、仿真、编程下载后,分析最终结果,总结问题并寻求解决方法 关键词:直接数字频率合成器累加控制频率相位波形 Abstract Direct digital frequency synthesizer is a full digital technology based on afrequency synthesis technology, the required waveform from the phase of thedirect synthesis, has the advantages of low cost, low power consumption, high resolution and fast switching time and other advantages, is widely used in thefield of electrical and electronic equipment, In this experiment, a design can control the frequency by using QuartusII software, the direct digital frequency synthesizer phase can output sine and cosine, the working principle of the whole circuit requirements analysis, and explains the design principle of each module, integration of the circuit, the formation of the overall circuit. Finished debugging, simulation, programming,analysis result, summarizes the problems and seek solutions Key word: Direct Digital Frequency Synthesizer accumulation control frequent phase position waveform

基于Verilog的DDS设计与显示

硬件描述语言课程设计 题目:基于Verilog的DDS设计与显示 学院:自动化工程学院 专业:信号与信息处理 年级:2012级 姓名:黄山 2013年1 月19 日

1.设计要求 设计一个DDS 信号发生器,能够产生三角波,要求频率、相位可调。实现VGA 显示波形和参数。要求用DE2-70开发板完成。 设计要求: 一、DDS 信号发生器设计要求: (1) 频率两档可调; (2) 峰峰值两档可调; 二、VGA 波形和字符显示设计要求: (1) 用红色显示2个周期波形; (2) 在屏幕下方显示字符库。 2.设计原理及分析 一)DDS 原理(以正弦信号为例) 对于正弦信号发生器,它的输出可以用下式来描述: (1) 其中,S OUT 是指该信号发生器的输出信号波形,f OUT 指输出信号对应的频率。上式的表述对于时间t 是连续的,为了用数字逻辑实现该表达式,必须进行离散化处理,用基准时钟clk 进行抽样,令正弦信号的相位θ为 t f out πθ2= (2) 在一个clk 周期Tclk ,相位θ的变化量为 clk out clk out f f T f /22ππθ==? (3) 为了对θ?进行数字量化,把2π切割为2N 由此,每份clk 周期的相位增量θ?用量化值 (4) 且θ?B 为整数。 sin(2)=sin() out out S A f t A πθ=22N B θ θπ ??≈?2N out CLK f B f θ?≈ ?

(5) 显然,信号发生器的输出可描述为: (6) 其中θK-1指前一个clk 周期的相位值,同样得出 (7) 由上面的推导可以看出,只要对相位的量化值进行简单的累加运算,就可以 得到正弦信号的当前相位值,为用于累加的相位增量量化值θ?B 决定了信号的输出频率f OUT ,并呈现简单的线性关系。 直接数字合成器DDS 就是根据上述原理而设计的数控频率合成器,主要由相位累加器、相位调制器、正弦ROM 查找表、和DAC 构成。如图1中相位累加器、相位调制器、正弦ROM 查找表是DDS 结构中的数字部分,由于具有数控频率合成的功能,可称为NOC(Numerically Controlled Oscillators)。 BK0 BK1 BK2 BK3 BKI0 BKI1BKI2 BKI3 Q0 MULT A 幅值输入 Q 图1 DDS 信号发生器结构 二)VGA 显示原理 常见的计算机显示器有CRT ( Cathode Ray Tube ,阴极射线管)显示器和液晶显示器,本次设计针对CRT 显示。CRT 中的阴极射线枪发出电子束打在涂有荧光粉的荧光屏上,产生RGB 三基色,合成一个彩色像素。用逐行扫描的方式显示图像。扫描从屏幕左上方开始,从左到右,从上到下,进行扫描。每扫完一行,电子束回到屏幕的左边下一行的起始位置,在这期间,CRT 对电子束进行消隐,每行结束时,用行同步信号进行行同步;扫描完所有行,用场同步信号进行场同步, 1sin(+) k outk S A B B θθ-?=N k θπ θ B k 221 1 ?= --

基于DDS技术的简易波形发生器实验报告

简易波形发生器实验报告 一、实验目的 1、了解电子系统的设计方法。 2、通过实验,熟悉和掌握AT89S52单片机的外围电路。 3、掌握单片机和数码管、键盘的接口电路和数码管的驱动方式。 4、掌握数模转换器DAC0800的原理、使用方法以及和单片机的接口。 5、掌握DDFS(直接数字频率合成)的原理和其在单片机内的实现方法。 6、学会用EDA软件(Protel99se或ORCAD)进行电路原理图和PCB图 的绘制。 7、学习用PSPICE、 Multisim 8等仿真软件进行电路设计和仿真。 行计和仿真。 二、实验任务和要求 (一)实验任务 基本部分: 1、具有产生正弦波、方波和三角波三种类型波形的功能。(25分) 2、输出频率范围为40Hz~1KHz,步进40Hz。(15分) 3、输出波形幅度范围0~5V(峰-峰值),可在范围内任意调整。(5分) 4、输出波形稳定且无明显失真。(5分) 发挥部分: 1、输出波形频率范围扩展至100Hz~200kHz。(10分) 2、增加稳幅输出功能,当负载变化时,输出电压幅度变化不大于±3%(负 载电阻变化范围:100?~∞)。(4分) 3、具有掉电存储功能,可存储掉电前用户的设置。(4分) 4、用液晶和键盘制作良好的人机交互界面。(2分) 说明:发挥部分可采用可编程逻辑器件FPGA结合单片机最小系统进行设计,完成后可在实验中心的EDA综合实验箱上下载调试。 (二)实验要求 1. 先在一周内交设计报告初稿(电路原理图,程序流程图),实验完成后交 实验报告。分数:30分 2. 基本部分:70分,(其中布局:10分,提问:10分) 3. 发挥部分:20分(附加) 三、实验仪器设备及元器件清单 1、实验仪器: 20M数字示波器、数字万用表、带面包板的数字电路实验箱 2、实验所用器件: 元件名称数量元件名称数量元件名称数量

相关主题
文本预览
相关文档 最新文档