当前位置:文档之家› 六人抢答器设计正文

六人抢答器设计正文

六人抢答器设计正文
六人抢答器设计正文

课程设计任务书

题目: 六人抢答器

一、初始条件

QuartusⅡ软件微机实验箱

二、要求完成的主要任务

1、抢答台数为6,具有抢答开始后20秒倒计时,20秒倒计时后六人抢答显示超时,并报警。

2、能显示超前抢答台号并显示犯规报警。

3、系统复位后进入抢答状态,当有一路抢答按键按下,该路抢答信号将其余各路抢答信号封锁,同时铃声响起,直至该路按键松开,显示牌显示该路抢答台号。

三、时间安排

作课程设计任务布置、选题、查阅资料第1天

设计软件编程和仿真,验证设计的可行性和正确性第2-8天设计的硬件调试第9-10天机房检查设计成果,提交设计说明书及答辩第11天

指导教师签名:年月日系主任(或责任教师)签名:年月日

目录

摘要................................................................................................................................ I ABSTRACT ................................................................................................................. I I

1 绪论 (1)

2 设计内容及要求 (2)

2.1设计的目的及主要任务 (2)

2.1.1设计的目的 (2)

2.1.2 设计任务及主要技术指标 (2)

2.2设计思想 (3)

3 设计原理及单元模块设计 (3)

3.1设计原理及方法 (3)

3.2按键模块设计 (4)

3.3抢答控制模块 (5)

3.4犯规控制模块 (6)

3.5倒计时模块 (7)

3.6报警模块 (8)

3.7数码显示模块 (9)

3.8顶层电路的设计 (10)

4电路的仿真及分析 (11)

5 硬件调试 (12)

6 心得体会 (13)

参考文献 (14)

附录一 (15)

附录二 (16)

附录三 (17)

摘要

近年来随着科技的飞速发展,QuartusⅡ的应用正在不断地走向深入。本文介绍基于QuartusⅡ,并用VHDL语言设计来完成六人抢答器的方法。大体思想是:利用VHDL语言设计出按键选择模块,倒计时模块,抢答控制模块,犯规控制模块,报警模块及数码显示模块等所需要的元件,将这些元件进行例化后,进行一定的连接形成六人抢答器系统。该系统具有的功能是:开始抢答前,若有选手按动抢答键,则显示犯规选手编号且报警;当开始抢答后,若在20s内有选手按动抢答键,则能显示选手的编号且铃声响起,同时能禁止其他选手抢答,若在20s内没有选手按动抢答键,则显示超时且报警。

关键词:QuartusⅡ;VHDL;抢答器

Abstract

In recent years, with the rapid development of science and technology, the applications of Quartus Ⅱare continually deepening. This article introduces how to make an competitive answer machine that can be used by six selects based on Quartus Ⅱand VHDL language. The general idea is like following: First, use VHDL language to design the required components of button selection module, countdown module, answer control module, fouls control module, alarm module and digital display modules. Second,instantiate these components. Finally, line these components into a competitive answer machine. The system has the following functions: Before the beginning, if a selector pressed his button, then the alarm would ring and his number would be displayed on Digital Tube. Once begin, if there were one elector pressed his button within 20 seconds, then the alarm would ring and his number would be displayed on Digital Tube, at the same time, the others wouldn’t be responded. If nobody pressed his button within 20 seconds, then the alarm would ring and the Digital Tube would display the signal of delay.

Key words: VHDL; Quartus Ⅱ; competitive answer machine

1 绪论

随着微电子技术的发展,越来越多的的电子产品陆续问世。数字技术的发展,使得数字产品的应用渗透了人们日常生活的各个方面。多路数字定时抢答器被广泛用于生活中,在娱乐节目中,我们能经常看到它的身影。在抢答题目中,多路抢答器能够保证每位选手的权利,做到公正,并且也给主持人带来许多方便。

人类社会已经进入信息化时代,信息社会的发展离不开电子产品的进步。电子设计技术的发展的核心就是EDA技术。没有EDA技术的支持,想要完成超大规模集成电路的设计制造是不可想象的,反过来,生产制造技术的不断进步又必须对EDA技术提出新要求。EDA代表了当今电子设计技术的最新发展方向,它的基本特征是:设计人员按照“自顶向下”的设计方法,对整个系统进行方案设计和功能划分。VHDL是一种全方位的硬件描述语言,包括系统行为级、寄存器传输级和逻辑门级多个设计层次,支持结构、数据流和行为3种描述形式的混合描述,因此VHDL几乎覆盖了以往各种硬件描述语言的功能,整个自顶向下或自底向上的电路设计过程都可以用VHDL来完成。另外,VHDL还有以下优点:VHDL的宽范围描述能力使它成为高层次设计的核心,将设计人员的工作重心转移到了系统功能的实现和调试上,只需要花较少的精力用于物理实现;VHDL可以用简洁明确的代码描述来进行复杂控制逻辑的设计,灵活且方便,而且也便于设计结果的交流、保存和重用;VHDL的设计不依赖于特定的器件,方便了工艺的转换。VHDL是一个标准语言,为众多的EDA场上支持,因此移植性好[2]。

本设计基于QuartusⅡ,并用VHDL语言设计来完成六人抢答器。大体思想是:利用VHDL语言设计出按键选择模块,倒计时模块,抢答控制模块,犯规控制模块,报警模块及数码显示模块等所需要的元件,将这些元件进行例化后,进行一定的连接形成六人抢答器系统。该系统具有的功能是:开始抢答前,若有选手按动抢答键,则显示犯规选手编号且报警;当开始抢答后,若在20s内有选手按动抢答键,则能显示选手的编号且铃声响起,同时能禁止其他选手抢答,若在20s内没有选手按动抢答键,则显示超时且报警。

2 设计内容及要求

2.1 设计的目的及主要任务

2.1.1设计的目的

①根据设计要求,完成对六人抢答器的设计。

②进一步加强对QuartusⅡ的应用和对VHDL语言的使用。

2.1.2 设计任务及主要技术指标

①可同时供6名选手(或代表队)参赛,其编号分别是1到6,各用一个抢答按钮,按键的编号与选手的编号相对应。

②给节目主持人设置一个控制开关,用来控制系统的清零和抢答的开始。

③抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按键,编号立即锁存,并在LED数码管上显示出选手的编号,同时扬声器给出音响提示,直至按键松开。此外,要封锁输入电路,禁止其它选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。

④抢答器具有定时抢答的功能,设定抢答的时间为20秒。当节目主持人启动“开始”键后,要求定时器立即从20秒进行减计时,并用显示器进行显示,当倒计时完毕且没有按键按下时,扬声器发出声响。

⑤参赛选手应在设定的时间内进行抢答,若系统清零后但抢答未开始时,若有选手抢答,则显示抢答台号,并报警。

2.2设计思想

本设计基于QuartusⅡ,并用VHDL语言设计来完成六人抢答器。大体思想是:利用VHDL语言设计出按键选择模块,倒计时模块,抢答控制模块,犯规控制模块,报警模块及数码显示模块等所需要的元件,将这些元件进行例化后,进行一定的连接形成六人抢答器系统。该系统具有的功能是:开始抢答前,若有选手按动抢答键,则显示犯规选手编号且报警;当开始抢答后,若在20s内有选手按动抢答键,则能显示选手的编号且铃声响起,同时能禁止其他选手抢答,若在20s内没有选手按动抢答键,则显示超时且报警。

3 设计原理及单元模块设计

3.1 设计原理及方法

根据层次化设计理论,该设计自顶向下可分为按键选择模块,倒计时模块,抢答控制模块,犯规控制模块,报警模块及数码显示模块,其系统框图如图1所示。

图1 六人抢答器系统框图

3.2 按键模块设计

本设计共用到了六个按键分别用来接收六个选手的信息,还有一个拨码开关用来接收主持人的信息。由于按键所用开关为机械弹性开关,当机械开关被按下时不会马上稳定地接通,松开时不会马上稳定地断开,所以六个按键要接消抖模块。即按键设计模块包含了六个按键、一个拨码开关和六个消抖模块。

消抖模块的设计思想是,利用信号通过D触发器时的时延,将三个顺次连接的D触发器的输出接入一个三输入与门,只有当键按下且稳定时才有输出为1,其余输出为0。需要说明的是:时钟输入是经过分频的,分频后的时钟周期与按键的抖动时间相当。具体电路如图2所示。

图2 消抖模块电路原理图

按照上图写出VHDL程序,将此程序仿真正确后,例化成元件,元件名为qdou,则由六个按键、一个拨码开关和六个消抖模块如图3所示。其中六个选手对应的按键接口分别为d6、d5、d4、d3、d2和d1,对应的消抖模块名称分别为6、5、4、3、2和1。这六个消抖模块的clock 端连在一起,接20MHZ的时钟激励,激励名称为clk。在此设计中的其他模块所需处理的选手信息都是来自各消抖模块的输出。另外,主持人控制的拨码开关不需连接消抖模块,且对其取名为host。

图3 按键选择模块

3.3抢答控制模块

该模块主要用到了以下两个子模块:信号封锁模块及信号输出模块。本模块的电路图如图4所示。在此图中,d4、d3、d2和d1及对应的消抖模块由于篇幅过大而没有画出,各消抖模块的输出端分别对应接到一个或门和dff1上,或门的输出端则接fs的clk输入端口。

信号封锁模块取名为fs,其作用原理是:当clr 端口输入为0时,输出q为0;当clr 端口输入为1 且clk有上升沿触发时,输出q为1。信号输出模块取名为dff1,其作用原理是:当clr 端口输入为0时,输出dffq6至dffq1为0;当clr 端口输入为1 且clk有上升沿触发时,输出口dffq6至dffq1输出的值分别为d6至d1的值。

抢答控制模块的作用原理为:当主持人拨码开关置0时,fs及dff1的各输出端均为0。当主持人拨码开关置1时,若没有按键按下时,各消抖模块的输出端均为0,于是或门的输出为0;一旦有键按下,各消抖模块的输出端有一个为1,于是或门的输出为1,fs的clk输入端口就有一个上升触发沿,输出q为1,

进而dff1的clk输入端口就有一个上升触发沿,六个选手的按键信息被输出。此时,若再有选手按下按键,各部分的输出不会有所改变,即此选手的按键信息被封锁。

违规控制模块的作用原理为:当主持人拨码开关置1时,dff2的输出q各位为0。当主持人拨码开关置0时,即还没有开始抢答时,若有按键按下时,对应消抖模块的输出端为1,于是此选手的编号便作为信息输出。

3.5

该模块的中心部分是由以下两个子模块构成:分频模块和倒计时模块。分频模块的输出作为倒计时模块的时钟输入,信号输出模块dff1的各输出端口经一个或门连接到倒计时模块的暂停控制端,主持人的拨码开关输入连接到倒计时模块的初始化控制端。

分频模块取名为fenp1,其作用原理是:将频率为20MHZ的激励源经clk 端口输入后,输出频率为1HZ的方波。倒计时模块取名为cnt20s,其作用原理是:clk端口输入为方波;当clr端口输入为0时,输出s和g合起来对应的数字为20,clr端口输入为1时,输出s和g合起来对应的数字则从20开始每个时钟脉冲减1;当stop 端口输入为0时,对输出无影响,当stop端口输入为1时,输出输出s和g合起来对应的数字不变;当倒计时到0秒时,输出y为0,其余时刻输出y为1。

倒计时模块的作用原理为:当主持人拨码开关置0时,输出s和g合起来对应的数字为20,输出y为1;当主持人拨码开关置1时,输出s和g合起来对应

的数字则从20开始每个时钟脉冲减1;若在倒计时到0秒之前,有选手进行抢答时,dff1的对应输出端为1,于是或门的输出为1,倒计时停止。若在倒计时到0秒之前,没有选手进行抢答,则输出y为0。倒计时模块的原理图如图6。

图6 倒计时模块的原理图

3.6报警模块

该模块的中心部分是由警铃子模块构成。具体电路图如图7所示,其中的或门即是抢答控制模块中的或门。警铃子模块的时钟输入端与20MHZ的时钟激励源相连,倒计时模块的输出y和或门的输出取非后连接到一个与门,此与门的输出连接到警铃子模块的输入使能端。

警铃子模块取名为ring,其作用原理是:clk1作为时钟脉冲激励端,当输入使能端en输入为0时,有一定频率的方波输出,当输入使能端en输入为1时,输出恒为0。

报警模块的作用原理为:当有选手进行抢答或倒计时到0秒时,en输入为0,ring有一定频率的方波输出;其余情况下,en输入为1,输出恒为0。

图7 报警模块原理图

3.7数码显示模块

该模块的中心部分是由两种译码子模块及一个选择输出子模块构成。倒计时模块的十位和个位输出接译码器seg72,抢答控制模块及犯规控制模块的输出接译码器seg7。经各译码器输出的信号接到选择输出子模块,20MHZ的时钟激励接分频器后接选择输出子模块的时钟端。

第一种译码子模块模块取名为seg7,其作用原理是:将按键信息转化为可用于七段译码管输入的段选信息。例如,对应第二个选手的编码010000,它对应的七段译码管输出为2。另一种译码子模块模块取名为seg72,其作用原理是:将时钟信息转化为可用于七段译码管输入的段选信息。例如,对应时钟倒计时到15秒时,它对应的七段译码管输出为15。选择输出子模块取名为xze4,其作用原理是:内部由00到11轮换计时,对于四个输入,每一时刻都对应有作为输出。

数码显示模块的作用原理为:倒计时的十位与个位、抢答选手编号、违规选手编号分别在不同时刻轮换输出,再由时刻输出端决定不同的数码管轮换发光,于是,由于视觉的暂留效应,以上各信息便可以同时在数码管上显示。模块的原理图如图8。

图8 数码显示模块

3.8顶层电路的设计

根据以上各电路的分析,可以将按键选择模块,倒计时模块,抢答控制模块,犯规控制模块,报警模块及数码显示模块按照一定的顺序连接成一个总的六人抢答器系统,连接顺序可以参照图1,即六人抢答器的系统框图。具体的顶层电路见附录一。要注意的是,在连接好顶层电路后,在files菜单栏下,右击qda.bdf 选择Set As Top-Level Entity,再运行此顶层电路。

在顶层电路设计完毕之后,选择与当前项目文件欲设计实现的实际芯片进行编译适配,点击Assignment/Device,在弹出的对话框中的Cyclone族中选择芯片EPIC3T144C8,然后点击确定。接下来,要设置各输入输出口的引脚。点击Assignment/Device,在弹出的对话框中的location一栏中依次设置各引脚即可。要注意的是,不用的引脚要设置为高阻态,以免某个引脚输出电压过高而引起实验箱的损坏。

4电路的仿真及分析

由于本实验的时间为秒级,所以程序的仿真不能出来结果。为了能够得到仿真结果,将本设计中的有分频作用的模块去掉,则每一个时钟脉冲都会有对应的波形变化。对应的可用于仿真的电路原理图如图9所示。在此图中,六个选手的按键及主持人的拨码开关作为输入端,警铃的使能端输入信号及要显示的各位作为输出端。

图9 可用于仿真的电路原理图

如图10所示,主持人的输入端为host,六位选手的输入端分别对应d1至d6,倒计时的十位及个位输出分别为s和g,抢答成功的选手输出为qda,违规的选手输出为wg,警铃的使能端输入为ring,只有当ring为0时,警铃才发声,当ring为1时,警铃不发声。

在图10中,在系统复位后,即主持人的输入端为host为0时,倒计时的输出为000010和000000,经译码器seg7后输出为20,且不随时间而变,设置抢答开始前第二位选手违规抢答,则有输出端wg输出变为010000,经译码器seg7后,输出为2,且同时有警铃发声。抢答开始后,即主持人的输入端为host为1时,倒计时开始,设置第四位选手首先抢答,于是警铃输入使能端变为0,即警

铃发声。输出端qda变为000100,经译码器seg7后,输出为4,且不随时间而变,即若再有其他选手抢答,输出端qda。

图10 仿真波形图

5 硬件调试

仿真结果正确后,就可将文件下载到芯片中。连接硬件系统后,通上电源,经QuartusⅡ中的“PROGRAMMER”菜单,调出编程器窗口。一切就绪后,按下编程器窗口中的“START”按钮,设计的内容就开始下载到实验箱中。

在实验中,发现了几个问题。第一个问题是,倒计时输出为FF,经检查后,发现译码器的设置有问题。在设计中,抢答选手及违规选手的编号译码方法与倒计时的数字译码方法是不同的,在设计初期忽略了他们之间的不同,导致译码出错。第二个问题是,显示的顺序有误,例如,倒计时的十位出现在各位的右边,不符合我们的观察习惯。经检查后,发现倒计时模块软件的编写与顶层电路的连接不对。将软件的设计改为与电路连接匹配后,倒计时的十位出现在各位的左边。第三个问题是,当按键按下后,及抢答时间结束后,没有报警声。经检查后,发现警铃模块的分频出现问题,即所分出输出波形的频率不在人耳的听觉范围之内。将分频的输出频率增大至人耳的听觉范围之内后,可使警铃正常发声。

各错误及异常改正之后,实验箱工作正常。

6 心得体会

这次课程设计历时十多天,在这段日子中,我首先完成了电路图的设计,然后利用QuartusⅡ完成了电路图的原理图绘制及原理图中的各模块的编写。对我而言,此次课程设计的过程中我遇到了许多挫折,如软件使用中的很多问题,但我认为挫折是一份财富,经历是一份拥有。这次课程设计必将成为我人生旅途上一个非常美好的回忆。

从拿到题目到具体设计,从学习到实践,在这段日子里,可以说得是苦多于甜,但是可以学到很多很多的的东西,通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。在设计的过程中遇到问题,可以说得是困难重重,难免会遇到过各种各样的问题,同时在设计的过程中发现了自己的不足之处,如对QuartusⅡ软件的应用还不够熟悉,以及其中很多功能应用还未有深刻理解,要通过不断的实用和查找资料来完善自己此方面的能力。尤其是在经过硬件调试后,我发现自己在模块的设计过程中,出现了很多的错误,多是由于思考问题不够缜密所致。今后,我一定要加紧自己的程序设计缜密性。

感谢学校给我们这次机会,在此次设计中,将以前所学的理论知识运用到实际的电路设计当中去,在电路的设计过程中,无形中便加深了对数字电路的了解及运用能力,对课本以及以前学过的知识有了一个更好的总结与理解,同时也锻炼了我的动手能力。同时也感谢指导老师在设计过程中的辅导以及同学的帮助。

参考文献

[1]潘松,黄继业.EDA技术与VHDL.北京:清华大学出版社,2007.1.

[2]宋嘉玉,孙丽霞.EDA实用技术.北京:人民邮电出版社,2006.12.

[3]齐洪喜,陆颖.VHDL电路设计实用技术.北京:清华大学出版社,2004.5.

[4]刘艳萍,高振斌,李志军.EDA实用技术及应用.北京:国防工业出版社,2006.1.

[5]章彬宏.EDA应用技术.北京:北京理工大学出版社,2007.7.

[6]汉泽西.EDA技术及其应用.北京:北京航空航天大学出版社,2004.5.

[7]谭会生.EDA技术基础.长沙:湖南大学出版社,2004.8.

附录一

图11 整体设计原理图

附录二

表1 模块清单

课程设计四人抢答器实验报告

课程设计四人抢答器实验报告

课题:四人智力抢答器专业: 班级: 学号: 姓名: 指导教师: 设计日期: 成绩: 电气学院

四人智力抢答器设计报告 一、设计目的作用 1.掌握四人智力竞赛抢答器电路的设计、组装与调试方法。 2.熟悉数字集成电路的设计和使用方法。 二、设计要求 设计一台可供4名选手参加比赛的智力竞赛抢答器。当主持人说开始时,四人开始抢答,电路能判别出四路输入信号中哪一路是最先输入信号,并给出声、光、数码显示。 (1) 4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (2) 给主持人设置一个控制按钮,用来控制系统清零和抢答的开始。 (3) 抢答器具有数据锁存的功能。抢答开始后,若有选手按动抢答按钮,该选手指示灯亮并立即锁存,同时扬声器给出音响提示,禁止其它选手抢答。抢答选手的指示灯一直保持到主持人将系统清零为止。 (4)选择B题的除了具有上述功能外,还要在声、光显示的同时,在数码管上显示选手的编号,编号一直保持到主持人将系统清零为止。 三、设计的具体实现 1、系统概述

电路主要由脉冲产生电路,锁存电路,编码及译码显示电路和音响产生电路。当有选手抢答时首先锁存,防止其它选手抢答,然后编码,再经4线7段译码器将数字显示到显示器上同时产生音响,电路结构系统如图: (1)以锁存其为中心的编码显示器 抢答信号的判断和锁存能够采用触发器或锁存器。若以四D触发器74LS175为中心构成编码锁存系统,编码的作用是把锁存器的输出转化为8421BCD码,进而送给7段显示译码器。其真值表为: 锁存器输出编码器输出 Q4 Q3 Q2 Q1 D C B A 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 1 0 0 0 1 0 0 1 0 0 0 0 1 1 1 0 0 0 0 1 0 0

4人抢答器电路设计方案

电工学实验A(下)实验报告 4人竞赛抢答器的设计 学院名称:材料科学与工程 班级:10级4班 姓名:XXX 学号:1810004XX 成绩: 2013 年 1 月 12 日

目录 第一篇实验设计任务介绍 (3) 1.1设计题目 (3) 1.2实验目的 (3) 1.3实验内容 (3) 1.4实验要求 (4) 第二篇设计背景 (5) 第三篇设计原理 (5) 3.1抢答器的主要功能简介 (5) 3.2抢答器工作原理 (7) 3.2.1 74LS175原理介绍 (7) 3.2.2 74LS00原理介绍 (9) 3.2.3.555原理介绍 (11) 第四篇设计电路图介绍 (14) 4.1电路设计图 (14) 4.2.元件清单 (15) 第五篇心得体会 (15)

第一篇实验设计任务介绍 1.1设计题目 数控增益放大器的设计 1.2实验目的 1、掌握面包板搭接电路技术; 2、学习调试系统电路,提高实验技能; 3、了解竞赛抢答器的工作原理及其结构。 1.3实验内容 1、设计任务: 设计制作一个可容纳四组参赛的数字式抢答器。 2、设计要求: (1)每组设置一个抢答按钮,供抢答者使用; (2)电路具有第一抢答信号的鉴别和锁存功能; (3)在主持人将系统复位并发出抢答指令后,若有参赛者按抢答开关,则提醒主持人(音频提示或信号灯亮),同时显示出抢答者的组别; (4)同时电路应具备自锁功能,使别组的抢答开关不起作用; (5)要求电路主要选用中规模TTL或CMO S集成电路。 3、设计要点: (1)抢答控制器:竞赛抢答器的核心,当任意一位参赛者按下开关时,抢答控制器立刻接受该信号,则提醒主持人(音频提示或信号灯亮),同时显示出抢答者的组别。 与此同时,封锁其他参赛者的输入信号,这就要求抢答器的分辨能力高(CP

基于PLC的六路抢答器系统设计

电气及自动化课程设计报告 题目:基于PLC的六路抢答器系统设计 课程:PLC原理与应用 学生姓名: 学生学号: 年级:14级 专业:自动化 班级:2班

指导教师: 机械与电气工程学院制 2017年6月 目录 1课程设计的任务和要求 (1) 1.1课程设计的任务 (1) 1.2课程设计的要求 (1) 2.PLC控制器的原理与组成 (1) 2.1PLC硬件系统 (1) 2.2PLC工作原理 (3) 2.3六人抢答器基本组成 (4) 2.4六人抢答器工作原理 (4) 3六人抢答器系统设计方案制定 (5) 3.1PLC选型 (5) 3.2六人抢答器系统的I/O口分配 (6) 4六人抢答器系统的软件设计 (7) 4.1PLC编程语言 (7) 4.2抢答器系统程序 (7)

4.2.1主持人控制端 (7) 4.2.2抢答成功与抢答犯规指示灯显示 (9) 4.2.3七段数码管显示 (9) 4.2.4蜂鸣器电路 (11) 5六人抢答器系统程序仿真 (12) 5.1抢答成功仿真 (12) 5.2抢答犯规及抢答超时仿真 (12) 5.3加减分及数码管显示 (13) 5.4抢答超时 (14) 6总结及心得体会 (14) 参考文献 (15)

基于PLC的六路抢答器系统设计 机械与电气工程学院自动化专业 1课程设计的任务和要求 1.1课程设计的任务 使用西门子S7-200PLC编写程序实现六路抢答器的系统设计并使用仿真软件进行其功能的实现。 1.2课程设计的要求 (1)主持人控制功能,具有开始抢答按钮和复位按钮; (2)主持人未按下开始抢答按钮时抢答为违规抢答,违规指示灯亮,蜂鸣器响; (3)抢答延时,超过20S无人抢答时此题作废,蜂鸣器长鸣; (4)抢答成功后,抢答成功指示灯亮,数码管显示抢答成功的队伍编号; (5)在抢答成功后,主持人根据回答的正确与否可以对该队伍进行加减分控制; (6)每次正确抢答时,只有第一位按下抢答按钮的队伍为有效抢答。 2.PLC控制器的原理与组成 2.1PLC硬件系统 可编程控制器,英文称ProgrammableLogicController,简称PLC。PLC是基于电子计算机,且适用于工业现场工作的电控制器。它源于继电控制装置,但它不像继电装置那样,通过电路的物理过程实现控制,而主要靠运行存储于PLC内存中的程序,进行入出信息变换实现控制。PLC基于电子计算机,但并不等同于普通计算机。普遍计算机进行入出信息变换,多只考虑信息本身,信息的入出,只要人机界面好就可以了。而PLC则还要考虑信息入出的可靠性、实时性,以及信息的使用等问题。特别要考虑怎么适应于工业环境,如便于安装,抗干扰等问题[1]。

EDA课程设计—四人抢答器设计

摘要 现代生活中,数字电路产品与我们接触的是越来越平凡了,包括计算机、电子表、智能仪器表及其它很多领域中,它给我们带来的不仅是工作上的方便,而且也给我们的生活娱乐添滋加彩。这次EDA课程设计中,我做的是四人抢答器,基于设计要求,本文主要是从锁存器及计数器功能和VHDL语言着手,但侧重点在用VHDL语言上。首先简单介绍一下数字电路、EDA、VHDL等的有关知识,其次介绍了一下设计要求和我的设计构想,再运用VHDL语言特点,写出程序代码,最后是一些总结和抢答器部分实验电路图与倒计时设计的电路图和用MAX+PLUSII软件仿真的结果部分图附录等部分。 关键词:置位;复位;锁存;计数器;七段显示器;MAX+PLUSII;译码器 目录 摘要: (1) 引言: (2) 一、设计任务及要求: (2) 二、题目分析与整体构思: (2) 三、VHDL程序设计: (3) 四、心得体会及模型评价与推广: (5) 附录: (6) 参考文献: (10)

引言 数字电路主要是基于两个信号(我们可以简单的说是有电压和无电压),用数字信号完成对数字量进行算术运算和逻辑运算的电路我们称之为数字电路,它具有逻辑运算和逻辑处理等功能,数字电路可分为组合逻辑电路和时序逻辑电路。 EDA技术又称电子设计自动化,它是为解决自动控制系统设计而提出的,从70年代经历了计算机辅助设计(CAD),计算机辅助工程(CAE),电子系统设计自动化(ESDA)3个阶段。前两个阶段的EDA产品都只是个别或部分的解决了电子产品设计中的工程问题;第三代EDA工具根据工程设计中的瓶颈和矛盾对设计数据库实现了统一管理,并提出了并行设计环境概念,提供了独立于工艺和厂家的系统级的设计工具。 VHDL(VERY HIGH SPEED INTEGRA TED CIRCUIT HARDW ARE DESCRIPTION LANGUAGE)语言最早是有美国国防部提出的,它支持行为领域和结构领域的硬件描述,并且可以从最抽象的系统级一直到最精确的逻辑级,在描述数字系统时,可以使用前后一致的语义和语法跨越多个层次,并且使用跨越多个级别的混合描述模拟该系统。因此,它可以由高层次行为描述子系统及低层次详细实现子系统所组成的系统模拟。它有两个版本IEEEStd1076-1987[LRM87]和IEEEStd1076-1993[LRM93],他们并不完全兼容,但做一些修改就可以兼容了。 许多公司都为VHDL开发出了编译和仿真软件,其中Max+plusII(或写成Maxplus2,或MP2) 是Altera公司推出的的第三代PLD开发系统(Altera第四代PLD开发系统被称为:QuartusII,主要用于设计新器件和大规模CPLD/FPGA).使用MAX+PLUSII的设计者不需精通器件内部的复杂结构。设计者可以用自己熟悉的设计工具(如原理图输入或硬件描述语言)建立设计,MAX+PLUSII把这些设计转自动换成最终所需的格式。其设计速度非常快。对于一般几千门的电路设计,使用MAX+PLUSII,从设计输入到器件编程完毕,用户拿到设计好的逻辑电路,大约只需几小时。设计处理一般在数分钟内内完成。特别是在原理图输入等方面。 一、设计任务及要求: 本设计要求做一个四人抢答器,并要求当有某一参赛者首先按下抢答开关时,相应 显示灯亮并报警,此时抢答器不再接受其他输入信号。电路具有回答问题时间控制功能。要求回答问题时间小于等于100s(显示0-99),时间采用倒计时方式。当到达限定时间,发出警告。 二、题目分析与整体构思: 对于一个四人抢答器,四个选手在电路中的起始控制作用是一样的,当裁判员宣布开始抢答时,谁先按下他前面的控制开关,他的灯就会亮,而且这时其他人再怎么按,也就不会亮了,说明每个人对其他人都有一个先发制人的作用,及每个人都在时间控制下,能锁存住其他选手的功能。当有一个指示灯亮了,计数器就开始从99开始倒计时,到0时还要警告声,这样计数器开始工作就是在指示灯的指示下工作。 可以设四个人分别为输入端A,B,C,D;因为四个输入端在VHDL中,要求四个输入端

六路抢答器论文

六路数字抢答器设计方法 王亚静 摘要:有许多比赛活动中为了准确、公正、直观的判断出第一抢答者,通常设置一台抢答器,通过灯光等手段指示出第一抢答者。同时,还可以设置记分、犯规及奖惩记录等多种功能。电路具有第一抢答信号的鉴别和锁存功能。在主持人将系统复位并发出抢答指令后,开始抢答,如有参赛者按抢答开关,则该组指示灯亮显示出抢答者的组别。此时,电路应具备自锁功能,使其他组的抢答开关不起作用。 关键词:第一抢答者 抢答器 锁存 复位 自锁功能 一.设计任务与技术要求 1、设计任务 设计制作一个可供6组选手参加比赛的数字式竞赛抢答器。 2、技术要求 (1) 抢答器同时供6名选手或6个代表队比赛,分别用6个按钮S1、S2、S3、S4、S5、S6表示。 (2) 设置一个系统清除和抢答控制开关S0,该开关由主持人控制。 (3)抢答器具有锁存与显示功能。即具有第一个抢答信号的鉴别和数据锁存的功能。抢答开始后,若选手按动抢答按钮,锁存相应编号,相应灯亮起,并封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。 二.总体方案设计及电路的工作原理: 1. 总体方案 数字式抢答器原理框图如图1所示。它由主体电路组成。主体电路完成基本的抢答功能,即开始抢答后,当选手按动抢答键时,能亮起相应灯泡同时横封锁输入电路,禁止其他选手抢答。

图1 2.工作原理 工作原理为:接通电源后,主持人将开关拨到"清零"状态,抢答器处于禁止状态,编号二极管灭灯;主持人将开关置“开始”状态,宣布"开始"抢答器工作。选手抢答时,抢答器完成:优先判断、编号锁存、二极管发光显示。如果再次抢答必须由主持人再次操作"清除"和"开始"状态开关。计数输入由秒脉冲发生器提供。 三.单元电路的设计及电路图 1.抢答电路 抢答电路的功能有两个:一是能分辨出选手按键的先后,并锁存优先抢答者的编号,供显示电路用;二是要使其他选手的按键操作无效。这里选用6D触发器74LS174,其电路图如图2所示。 图2 其工作原理是: 当开关S置于"开始"时,抢答器处于等待工作状态,当有选手将键按下时(如按下S1),74LS174的输出Q1=1,二极管D1发光。此外,Q1=1,经非门过74HC30输出为高电平,74LS174处于禁止状态,封锁其他按键的输入,保证了抢答者的优先性。如有再次抢答需由主持人将 S0开关重新置“清零”, 6D触发器74LS174的CLR端为低电平,输出端(Q1~Q6)全部为低电平,于是二极管灭灯;然后再进行下一轮抢答。 2.反馈电路 这部分电路是用8与非门74HC30和6个非门构成,电路图如图3.

四人抢答器plc课程设计

课程设计说明书 题目名称:四组抢答器plc课程设计 系部:机械工程系 专业班级:机械化13-1班 学生姓名: 学号:2013233 指导教师:全瑞琴 完成日期:2017年1月8号

新疆工程学院 课程设计评定意见 设计题目四组抢答器plc课程设计 系部机械工程系专业班级机械化13-1班学生姓名学生学号2013233 评定意见: 评定成绩: 指导教师(签名):年月日

(此页背书) 评定意见参考提纲: 1、学生完成的工作量与内容是否符合任务书的要求。 2、学生的勤勉态度。 3、设计或说明书的优缺点,包括:学生对理论知识的掌握程度、实践工作能力、表现出的创造性和综合应用能力等。

新疆工程学院 机械工程系系(部)课程设计任务书 2015-2016 学年第一学期2016 年 1 月10日 教研室主任(签名)系(部)主任(签名)

摘要 随着微处理器、计算机和数字通讯技术的飞速发展,计算机控制已扩展到了所有控制领域。而实用抢答器的这一产品是各种竞赛活动中不可缺少的设备,无论是学校、工厂、军队还是益智性电视节目,都会举办各种各样的智力竞赛,都会用到抢答器。目前市场上已有的各种各样的智力竞赛抢答器绝大多数是早期设计的,本设计要求就是利用PLC作为核心部件进行逻辑控制及信号的产生,用PLC本身的优势使竞赛真正达到公正、公平、公开。设计是利用PLC(Programmable Logic Controller)对PLC控制的四路智力抢答器进行控制。首先选择这个题目之后我对本次设计进行了全面的思考。使自己对本次设计有一个大致的总体思路然后仔细分析PLC控制的四路智力抢答器的工作原理以及它的一些工作过程分析后得 出它主要需要完成主持人的控制、选手的抢答、报警、计时及输出显示功能等。考虑到只是PLC控制的四路智力抢答器则输出端口需要9个,输入端口需要6个,由于PLC具有可靠性高、体积小、通用性、使用方便等优点因此我决定选用SIMATIC S7-200 系列的CPU226和数字量扩展模块EM223作为本次设计的PLC。具有方便灵活维护使用方便等特点。 关键词: 可编程控制器抢答器 PLC 模拟电路数字电路

基于PLC的六路抢答器系统设计课程设计

课程设计说明书
题目: 基于 PLC 的六路抢答器系统设计

毕业设计(论文)原创性声明和使用授权说明
原创性声明
本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教
师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加
以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研
究成果,也不包含我为获得
及其它教育机构的学位或学历
而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,
均已在文中作了明确的说明并表示了谢意。
作 者 签 名:
日 期:
指导教师签名:
日 期:
使用授权说明
本人完全了解
大学关于收集、保存、使用毕业设计(论
文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电
子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供
目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制
手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分
或全部内容。
作者签名:
日 期:

学位论文原创性声明
本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研 究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文 不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研 究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完 全意识到本声明的法律后果由本人承担。
作者签名:
日期: 年 月 日
学位论文版权使用授权书
本学位论文作者完全了解学校有关保留、使用学位论文的规定,
同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,
允许论文被查阅和借阅。本人授权
大学可以将本学位
论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩
印或扫描等复制手段保存和汇编本学位论文。
涉密论文按学校规定处理。
作者签名: 导师签名:
日期: 年 月 日 日期: 年 月 日

六人抢答器课程设计报告

课程名称:电子技术课程设计课题题目:六人抢答器 专业班级:通信工程 姓名: 学号: 指导教师:

目录 1 功能介绍 (2) 1.1主要功能介绍 (2) 1.2扩展功能介绍 (2) 2总体方案设计 (3) 3单元模块设计 (4) 3.1抢答器控制端电路功能介绍 (4) 3.2定时时间电路 (6) 3.3控制电路 (7) 3.4报警电路 (7) 4主要芯片介绍 (8) 4.1 优先编码器74LS148 (8) 4.2 计数器74LS192 (10) 5六人抢答器仿真 (11) 6系统调试 (15) 7电路原理图 (16) 8元件清单 (17) 9参考文献 (17)

课题题目:六人抢答器 1功能介绍 1.1主要功能介绍 1)有多路抢答,抢答台数为6; 2)具有抢答开始后20秒倒计时,20秒倒计时后无人抢答显示超时,并报警: 3)能显示超前抢答台号并显示犯规报警: 2、系统复位后进入抢答状态,当有一路抢答按键按下,该路抢答信号将其余各路抢答信号封锁,同时铃声想起,直至该路按键松开,显示牌显示该路抢答台号。 1.2扩展功能介绍 (1)抢答器具有定时抢答的功能,且一次抢答的时间为20秒。当节目主持人启动“开始”键后,要求定时器立即减计时,并用 显示器显示。 (2)参加选手在未开始抢答时按下抢答键,则犯规。显示器上显示选手的编号,并报警。 (3)参加选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。 (4)如果定时抢答的时间已到,却没有选手抢答时,本次抢答无效,封锁输入电路,禁止选手超时后抢答。 2总体方案设计 设计要求

简易抢答器的电路设计

简易抢答器的电路设计 学院名称公安技术学院 专业刑事科学技术、安全防范工程班级12级刑科、13级安防、刑科指导老师寇为刚 学生姓名脱海涛彭俊杰刘吉宏陈砚君 甘肃政法学院 2013年12月8日

摘要 本设计主要介绍用数电知识设计八路抢答器。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出,并与主持人开关相连接,即构成了抢答器的主体电路。经过布线、接线、调试等工作后数字抢答器成形。借助较少的外围元件完成抢答的整个过程,设计制作了八路抢答器,设计编程简单,工作稳定可靠。可供8人或8个代表队抢答,并用7段数码管显示首先抢答者的组别号码,有人抢答后自动闭锁其他各路输入,禁止其他人抢答,使其他组的开关失去作用,而显示最先按下抢答键的组别号。只有当主持人按下复位按键才能再次抢答。 关键字:抢答器数码管信号电路 Abstract The design introduced by the number of electrical knowledge eight responder design. Input signal priority coding circuit, latch, decoder circuit will be the team's output on a display, and connected with the host switch, which constitute the main circuit responder. After wiring, wiring, commissioning work forming digital answering device. The whole process with fewer peripheral components to complete the answer, designed and produced eight way responder, programming is simple, stable and reliable work. For 8 people or 8 team competition, with 7 digital tube display first responder group number, some people answer automatic blocking other each input, no other answer, switch to other groups lose their effect, and shows the first press the answer key constituencies. Only when the host press the reset button again to answer. Keyword:Responder Digital tube Signal Circuit

《PLC课程设计》(---九路抢答器)指导书

广东工贸职业技术学院 2010~2011学年第二学期 PLC课程设计指导书 题目:九路抢答(PLC可编程控制器应用) 课程名称《PLC及组态课程设计》专业电子信息适用年级 09级 班级 09电信班1、2 指导教师 _侯益坤、伍勤谟 · 一、课程设计的目的 PLC的课程设计是在完成本课程内容的课堂教学和实践之后进行的。目的是通过对一个实际应用课题的设计,初步掌握PLC控制系统的设计方法,从了解设计要求,运用所学知识并查阅有关技术资料进行系统设计,到模拟安装调试,然后整理有关技术资料,编写设计说明书,使学生得到一次系统的训练,从而对本课程理解更深刻,更清楚,更重要的是提高应用理论知识解决实际问题的能力。 课程设计应以培养学生的能力为主,要求学生在独立完成设计任务的同时,注意多方面能力的培养和提高,主要包括以下几方面: (1)综合运用专业及基础知识解决实际工程技术的能力。 (2)独立工作的能力和创造能力。 — (3)查阅技术资料和各种工具书的能力。 (4)工程绘图能力。

(5)撰写技术报告和编制技术资料的能力。 因此,在课程设计教学中,应以学生为主体,让其充分发挥自主性和创造性。教师的作用主要体现在工作方法的指导和思维方法的引导,以及设计技术把关上面。 二、系统方案设计要求说明 在很多竞赛活动中,经常用到抢答器。对抢答器的控制要求是:当多个输入信号输入时,抢答器只接收第一个到来的信号,而不接收后面到来的输入信号并使第一个到来的输入信号相应的灯或铃有反应。 本系统中设有9个抢答输入按钮、一个复位按钮、一个开始按钮,一个七段数码管,一个蜂鸣器,一个3S兰灯,一个5S黄灯,一个红色违规指示灯。 】 本系统可提供九个抢答台,在主持人的主持下,参赛人通过抢先按下按钮回答问题。 在抢答开始前,主持人应按下复位按钮使系统复位,做好抢答准备。 当主持人说开始,并同时按下开始按钮,抢答开始,并限定抢答时间为10s。 若抢答者在抢答开始前抢先输入,则属违规要显示该台台号,同时蜂鸣器以秒的周期响, 红色违规指示以1秒的周期闪烁,以便扣分惩罚。 若在开始之后到3s之内第一个按下抢答输入,由七段显示器显示该台台号,同时3s兰灯点亮、蜂鸣器声以秒的周期响。以便答对之后给予基本加分和对应兰灯点亮额外奖励加分。 若在3s之后到5s之内第一个按下抢答输入,由七段显示器显示该台台号,同时5s黄灯点亮、蜂鸣器声以秒的周期响。以便答对之后给予基本加分和对应黄灯点亮额外奖励加分。 若在5s之后10s之内第一个按下抢答输入,由七段显示器显示该台台号,蜂鸣器声以秒的周期响。以便答对给予基本加分

六人抢答器控制设计

荆楚理工学院 PLC控制技术课程设计成果 学院:电子信息工程学院 _班级: 2013级电气自动化技术1班 学生姓名: 李晓东学号: 2013301020129 设计地点(单位) PLC实验室D1402 设计题目: 六人抢答器控制设计 完成日期: 2015年 6 月20日 指导教师评语: _________________________________ 成绩(五级记分制): 教师签名: 目录 1设计任务和要求 (1)

2硬件设计 (1) 2.1输出/输入分配表 (1) 2.2 plc的选型 (2) 3 软件设计 (3) 3.1六人抢答器流程图 (3) 3.2控制程序梯形图 (4) 4总结 (6) 参考文献 (6) 六人抢答器控制设计 一设计任务和要求 设有主持人总台及各个参赛队分台。总台设有总台灯及总音响,总台开始及总台复位按钮。分台设有分台灯,分台抢答按钮。同时采用数码管显示,即抢答成功的队将会在屏幕上显示出队的组数号。 各队抢答必须在主持人给出了题目,说了开始并同时按了开始控制按钮后的8S内进行,如提前抢答,抢答器将报出“违例”信号。8S到若还无人抢答,抢答器将给出应答时间到信号,该题作废。在有人抢答情况下,抢得的队必须在40S内完成答题。如40S内还没回答完,则做答题超时处理。 灯光及音响信号的意义安排如下:

音响及某台灯加某队的组数号:正常抢答;音响及某台灯加总台灯:违例音响加总台灯:无人应答;音响加某台灯:答题超时 在一个题目回答终了后,主持人按下复位按钮,抢答器恢复原始状态,为第二轮抢答做准备。 二硬件设计 2.1输出/输入分配表 输入: 输出: 2.2 plc选型 本课程设计采用三菱FX1N型PLC.

(完整版)基于51单片机的4人抢答器课程设计

基于51单片机的4人抢答器设计 设计要求: 以单片机为核心,设计一个4位竞赛抢答器:同时供4名选手或4个代表队比赛,分别用4个按钮S0~S3表示。 设置一个系统清除和抢答控制开关S,开关由主持人控制。 抢答器具有锁存与显示功能。即选手按按钮,锁存相应的编号,并在优先抢答选手的编号一直保持到主持人将系统清除为止。 抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(如30秒)。 当主持人启动“开始”键后,定时器进行减计时,同时扬声器发出短暂的声响,声响持续的时间为0.5s左右。 参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。 如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答,定时显示器上显示00。 工作原理: 通过键盘改变抢答的时间,原理与闹钟时间的设定相同,将定时时间的变量置为全局变量后,通过键盘扫描程序使每按下一次按键,时间加1(超过30时置0)。同时单片机不断进行按键扫描,当参赛选手的按键按下时,用于产生时钟信号的定时计数器停止计数,同时将选手编号(按键号)和抢答时间分别显示在LED上。

#include #define uchar unsigned char #define uint unsigned int uchar num; //定义中断变量,num计满20表示1秒时间到uchar num1; //十秒倒计时显示初始值 uchar flag1,flag2; //清零键及开始键按下标志位 uchar flag3,flag4=0; //定义键盘按下标志位 uchar code table[]={ 0x3f,0x06,0x5b,0x4f, 0x66,0x6d,0x7d,0x07, 0x7f,0x6f}; //数码管编码

4路智力抢答器及原理电路图

1.设计目的 当今的社会竞争日益激烈,选拔人才,评选优胜,知识竞赛之类的活动愈加频繁,而在竞赛中往往分为几组参加,这时针对主持人提出的问题,如果要是让抢答者用举手等方法,这在某种程度上会因为主持人的主观误断造成比赛的不公平性。比赛中为了准确、公正、直观地判断出第一抢答者,这就要有一种抢答设备作为裁判员,这就必然离不开抢答器。 在数字电路设计的过程中具体的目的如下: 1)巩固和加深对电子电路基本知识的理解,提高综合运用本课程所学知识的能力。 2)培养根据设计需要选学参考书籍,查阅相关手册、图表和文献资料的自学能力,并掌握抢答器的基本原理,掌握4D锁存器、计数器、555定时器的工作原理和使用方法。 3)通过电路方案的分析、论证和比较,设计计算和选取元器件、电路组装、调试和检测等环节,初步掌握简单实用电路的分析方法和工程设计方法。 4)学会简单电路的实验调试和性能指标的测试方法,提高学生动手能力和进行数字电子电路实验的基本技能,学会使用Multisim仿真软件。 2.设计要求及方案论证 2.1设计要求 (1)四组参赛者在进行抢答时(用4组彩灯代表),当抢先者按下面前的按钮时,抢答器能准确地判断出抢先者,并以声、光为标志。要求声响、光亮时间为9秒后自动熄灭。 (2)抢答器应具有互锁功能,某组抢答后能自动封锁其他各组进行抢答。 (3)抢答器应具有限时(抢答时间、回答问题时间)的功能。限时档次分别为30秒、60秒、90秒;时间到时应发出声响。同时,时间数据要用数码管显示出来。 (4)抢答者犯规或违章时,应自动发出警告信号,以提示灯光闪为标志。 (5)系统应具有一个总复位开关。

2.2方案论证 方案一,用优先编码器74LS148和74LS279锁存器实现抢答和锁存功能,用加法器74LS160实现计数功能,但此方案电路繁琐复杂,不做选用。 方案二,用4D触发器74LS175实现抢答并锁存功能,用计数器74LS192实现定时功能,此方案电路相对简单,并且74LS192可以实现减数倒计时功能,所以选用方案二。 3.原理框图 4.单元电路设计 4.1抢答电路设计 4.1.1抢答电路及原理

六路抢答器设计报告

六路数字抢答器设计报告 目录 一、任务设计和要求 (2) 二、设计方案与论证 (4) 三、电路设计计算与分析 (5) 3.1 主持人控制电路 (5) 3.2 10S倒计时电路 (7) 3.3 控制显示电路 (10) 3.4 主要元器件介绍 (12) 四、总结与心得 (18) 五、附录 (19) 附录一:元器件清单 (19) 附录二:六路抢答器原理图 (20) 附录三:六路抢答器的仿真 (21) 六、参考文献 (22) 1

一、设计任务和要求 六路数字抢答器的设计任务如下: 1.主持人按动启动按钮,抢答开始,同时开始10秒倒计时。 2.6名抢答选手编号分别为1-6,各自控制一个按钮进行抢答,有人按下时扬声器给出声音提示,倒计时电路停止计时,同时显示抢答选手的号码。 3.选用七段LED作为显示器。 4.完成电路的理论设计。 5.参数的计算和有关器件的选择。 6. 对电路进行仿真。 7.撰写设计报告书一份:A3图纸1张。报告书要求写明以下内容:(B5纸) (1)总体方案的选择和设计 (2)各个单元电路的选择和设计 (3)仿真过程的实现 课程设计要求如下: 课程设计大体可分成以下三个阶段: 1.设计与计算阶段 学生根据课程设计任务、要求和条件进行总体方案的设计,通过论证和选择,确定总体方案。此后是对方案中单元电路 2

进行选择和设计计算,包括元器件的选用和电路参数的计算。最后画出总体电路图,选用元件一览表。 2.计算机仿真及电路制版 运用仿真软件EWB或MULTISIM对设计电路进行仿真,排除电路故障、调整元器件参数、修改电路,使之达到设计指标要求。最后使用PROTEL软件完成对电路的PCB制版(选作)。 3.撰写设计报告阶段 设计报告是学生对课程设计全过程的系统总结。学生应按规定的格式撰写设计报告。设计报告的主要内容有: 1)课题名称。 2)设计任务和要求。 3)方案选择与论证。 4)原理框图,总体电路图、计算机电路仿真图,以及它们的说明;单元电路设计与计算说明;元器件选择和电路参数计算的说明等。 5)收获体会、存在问题和进一步的改进意见等。 3

六路数字抢答器的设计.222

太原理工大学 课程设计 题 目名称数字竞赛抢答器 课 程 名 称数字电子技术课程设计学生姓名张超张兵锋 学号 2010002553 2010002552 系 、专 业矿业工程采矿工程专业指导教师黄丽霞 2012年 1 2月 28 日

1.设计背景 在许多比赛活动中,为了准确、公正、直观地判断出第一抢答者,通常设置一台抢答器,通过数显、灯光及音响等多种手段指示出第一抢答者。同时还可以设置记分、犯 规及奖励记录等多种功能。该设计就是针对上述各种要求设计出的供6名选手参赛使用的数字式竞赛抢答器。数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器 、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。通过定时电路和译码电路将秒脉冲产生的信号在显示器 上输出实现计时功能,构成扩展电路。经过布线、焊接、调试等工作后,数字抢答器成形。 2.设计任务与要求 1.设计制作一个可容纳六组参赛的数字式抢答器,每组设计一个抢答按钮供抢答者使用。 2.电路具有第一抢答信号的鉴别和锁存功能。在主持人将系统复位并发出抢答指 令后,开始抢答,定时器开始工作,数码管显示时间,从30s开始减计数,并有小红灯亮起(说明可以答题,抢答有效)。30s 内无人抢答则计数停,无人抢答显示0.若30s内参赛者按抢答开关,则该组指示灯亮并用组别显示电路显示出抢答者的组别, 同时扬声器发出“嘀-嘟”的双音音响持续2~3秒。此时,电路应具备自锁功能,使其他组的抢答开关不起作用。 3.设置记分电路。每组在开始时预置成 100 分,抢答后由主持人记分,答对一次 加10分,否则减10分。 4.设置犯规电路。对提前抢答和超时抢答的组别鸣喇叭示警,并由组别电路显示 出犯规组别。 3 .总体设计方案

四人智力抢答器课程设计报告

四人智力抢答器课程设计 报告 Prepared on 22 November 2020

数字电子技术课程设计报告 设计课题: 四人智力竞赛抢答器 学院: 专业: 电子信息工程 班级: 2010级电信(1)班 姓名: 学号: 日期 2012年 12月9日——2012年12月23日指导教师:

摘要 在各种智力竞赛场合,抢答器是必不可少的最公正的用具。 通过本学年的《数字电路技术》的学习我们知道了它的原理其实是比较简单的,主要就是通过四D触发器74LS175为中心构成编码锁存系统控制选手的抢答情况,再通过逻辑电路将输入开关、脉冲及输出LED灯、数码管和扬声器连接起来即可。电路由主体电路和扩展电路两部分组成,主体电路主要由74LS175,即4D触发器来构成抢答锁存器,由主持人来控制74LS175的清零端。当清零端为高电平“1”时,选手开始抢答,最先按键的选手相应的LED发光二极管发光,并且扬声器发出声音,同时,由4个Q及门电路组成的锁存电路来控制其他选手再按键时不再起作用。扩展电路主要包括秒脉冲发生电路和定时电路,并且在设计中加入了报警电路,以提示选手和观众。 经Proteus仿真软件验证抢答器原理图无误,可实现设计所要求功能。 关键词:四人智力竞赛抢答器、74LS175、脉冲、锁存器 目录 1 设计任务及要求 (1) 2 比较和选定设计的系统方案、画出系统框图 (1) 方案比较 (1) 系统框图 (3)

3单元电路设计、参数计算和器件选择 (3) 抢答电路设 (3) 定时电路设计 (6) 报警电路设计 (9) 4完整的电路图及电路的工作原理 (10) 完整电路图 (10) 工作原理..............................................................................11 5经验体会. (12) 参考文献 (12) 附录A:系统电路原理图 (13) 附录B:元器件清单 (14)

8位数字抢答器(含电路图)

天津职业大学 八路抢答器电路设计报告 学院:电子信息工程学院 专业:应用电子技术 班级:电子1班 姓名:吴凡樊德帅 指导教师:李新 2014年6月30日

一、课程设计的内容 设计一个8位数字抢答器。 二、课程设计的要求与数据 设计要求包括: 1.抢答器同时供8名选手或8个代表队比赛,分别用8个按钮S0 ~ S7表示。 2. 设置一个系统清除和抢答控制开关S,该开关由主持人控制。 3. 抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在优先抢答选手的编号一直保持到主持人将系统清除为止。 4. 抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(如,30秒)。当主持人启动"开始"键后,定时器进行减计时。 5. 参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。 6. 如果定时时间已到,无人抢答,本次抢答无效,系统通过一个指示灯报警并禁止抢答,定时显示器上显示00。 三、课程设计应完成的工作 1. 利用各种电子器件设计8位数字抢答器; 2. 利用DE2板对所设计的电路进行验证; 3. 总结电路设计结果,撰写课程设计报告。 四、应收集的资料及主要参考文献 [1] 陈永浦. 数字电路基础及快速识图[M]. 人民邮电出版社, 2006. 275-277.

[2] 侯建军. 数字电路实验一体化教程[M]. 北京清华大学出版社, 2005. 77 [3] 范文兵. 数字电子技术基础[M]. 北京清华大学出版社, 2008. 1 设计任务目的及要求 1.1 设计目的 通过课程设计,对数字逻辑的基本内容有进一步的了解,特别是时序逻辑电路的设计。能把上学期学到的数字逻辑理论知识进行实践,操作。在提高动手能力的同时对常用的集成芯片有一定的了解,在电路设计方面有感性的认识。而且在进行电路设计的时候遇到问题,通过独立的思考有利于提高解决问题的能力。在经过课程设计后,更明白数字逻辑电路设计的一般方法,以及在遇到困难怎么排除问题。 1.2 设计要求 我选择的课程任务是设计一个8位数字抢答器。设计要求包括: 1. 抢答器同时供8名选手或8个代表队比赛,分别用8个按钮S0 ~ S7表示。 2. 设置一个系统清除和抢答控制开关S,该开关由主持人控制。 3. 抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在优先抢答选手的编号一直保持到主持人将系统清除为止。 4. 抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(如,30秒)。当主持人启动"开始"键后,定时器进行减计时。 5. 参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。 6. 如果定时时间已到,无人抢答,本次抢答无效,系统通过一个指示灯报警并禁止抢答,定时显示器上显示00。 2 工作原理及设计方案 抢答器是为竞赛参赛者答题时进行抢答而设计的一种优先判决器电路,竞赛者可以分为若干组,抢答时各组对主持人提出的问题要在最短的时间内做出判断,并按下抢答按键回答问题。当第一个人按下按键后,则在显示器上显示该组的号码,同时电路将其他各组按键封锁,使其不起作用。回答完问题后,由主持

六路抢答器课程设计

湖南大学课程设计报告 课程名称:电子技术课程设计 系部:电气工程系 专业班级:电子科学技术 学生姓名: 指导教师: 完成时间: 2011.06.19 报告成绩:

目录 摘要 3 第一章、设计题目 4 第二章、设计目的 4 第三章、设计要求 4 3.1设计指标 4 3.2设计要求 4 第四章、设计方案与论证 5 第五章、系统具体电路设计及原理 5 5.1抢答器电路的设计 5 5.2定时电路的设计 5 5.3报警电路的设计 (6) 5.4时序控制电路的设计 (6) 第六章、主要元器件介绍 (7) 6.1 74LS48 和74LS192的功能表 (8) 6.2 74LS148 (9) 6.3 74LS279 (10) 6.4 74LS121 (11) 6.5NE555 (11) 第七章、设计采用元件 (13) 第八章、电路设计仿真 (13) 第九章、实验心得 (15) 第十章、参考文献 (16)

摘要 本设计的抢答器是一种比较简易的抢答器,没有使用特别多的复杂的元器件。结合上机动手实验而完成的。它的特点是电路简单、制作方便、操作简单、方便、性能可靠,实用于多种智力竞赛活动。本抢答器的电路主要完成:设计一个六路抢答器,实现开始一定时间后,开始抢答状态,可以判定是哪个信号抢答的,同时封锁其他信号,如果过了抢答时间,仍然没有抢答或者出现抢答者同时抢答时,那么就报警。这个抢答器设计基本上满足了实际竞赛应用中的各种需要。在实际中有很大的用途。 无论是在学校、工厂、军队还是益智性电视节目,都会举办各种各样的智力竞赛,都会用到抢答器。目前市场上已有各种各样的智力竞赛抢答器,绝大多数是以模拟电路、数字电路或者模拟电路与数字电路相结合的产品。这部分抢答器已相当成熟,但功能越多的电路相对来说就越复杂,且成本偏高,故障高,显示方式简单。 数字抢答器由主体电路与扩张电路组成.优先编码电路,锁存器,译码电路将参赛队的输入信号在显示器上输出:用控制电路和主持人的开关启动报警电路,以上两部分组成主体电路.通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路.经过布线,焊接,调试等工作后数字抢答器成型. 抢答器四周有安装孔,可以方便的安装在操作台上,外接抢答按钮接入相应的接线端子,如果需要外接电铃或指示灯,则接入继电器端子,安装完毕后就可以上电了,抢答器的电流输入为5V直流输入. 抢答器通上电后,蜂鸣器响,三个数码管都显示0,按下复位按钮后进入正常工作状态,这时可以设定抢答倒计时间,只要按动10进制编码按钮分别对时间的十位和个位设定,设定的时间在数码管上实时的显示出来.设定的时间范围为:0~30秒,设定完时间后,就可以按动开始按钮,表示抢答开始,这时蜂鸣器响0.1秒,提示各位选手,抢答已经开始,同时倒计时器开始从设定的时间进行倒计时. 若在抢答时间内有人抢答,则第三个数码管立即显示抢答位号,倒计时间停止倒计时,所用掉的时间就是抢答的时间,同时蜂鸣器响2秒,继电器吸合2秒,表示有人抢答,在这个按键之后按下的按键除了复位键外,其他按键均无效,只有主持人按下复位键后,可以进入下一轮抢答.

相关主题
相关文档 最新文档