当前位置:文档之家› proteus课程设计

proteus课程设计

proteus课程设计
proteus课程设计

课程设计任务书

学生姓名:专业班级:

指导教师:工作单位:信息工程学院

题目: 高阶有源滤波器设计

初始条件:

计算机、Proteus软件、Cadence软件

要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求)

1、课程设计工作量:2周

2、技术要求:

(1)学习Proteus软件和Cadence软件。

(2)设计二阶、四阶有源滤波器电路。

(3)利用Cadence软件对该电路设计原理图并进行PCB制版,用Proteus软件对该电路进行仿真。

3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。

时间安排:

2013.11.11做课设具体实施安排和课设报告格式要求说明。

2013.11.11-11.16学习Proteus软件和Cadence软件,查阅相关资料,复习所设计内容的基本理论知识。

2013.11.17-11.21对所设计的滤波器电路进行设计仿真工作,完成课设报告的撰写。

2013.11.22 提交课程设计报告,进行答辩。

指导教师签名:年月日

系主任(或责任教师)签名:年月日

目录

摘要................................................................................................................................ I Abstract ......................................................................................................................... I I

1 绪论 (1)

2 设计内容及要求 (2)

2.1设计的目的及主要任务 (2)

2.2设计思路 (2)

3 设计方案 (3)

3.1二阶高通滤波器电路 (3)

3.2四阶高通滤波器电路 (4)

3.3设计分析 (4)

3.3.1 四阶有源高通滤波器相关参数 (4)

3.3.2 参数计算 (5)

4 proteus仿真图及仿真结果 (6)

4.1 Proteus简介 (6)

4.1.1 Proteus 原理图绘制 (6)

4.1.2 Proteus仿真说明 (7)

4.2 仿真电路 (7)

4.3 仿真结果 (8)

5 基于Cadence的PCB制版 (11)

5.1 Cadence简介 (11)

5.2 利用Cadence软件设计原理图 (11)

5.2.1 原理图的绘制 (11)

5.2.2 生成网络表 (14)

5.3 PCB制板 (14)

5.3.1 Layout Plus编辑前准备 (14)

5.3.2 Layout Plus软件的编辑 (15)

6小结与体会 (17)

参考文献 (18)

高通滤波器有综合滤波功能,它可以滤掉若干次高次谐波,并可减少滤波回路数。对于不同滤波器而言,每个频率的信号的减弱程度不同。其在音频应用中也使用低音消除滤波器或者噪声滤波器。二阶,四阶有源高通滤波器是容许高频信号通过、但减弱(或减少)频率低于截止频率信号通过的滤波器。电路都是基于芯片UA741设计而成。将信号源接入电路板后,调整函数信号发生器的频率,通过观察示波器可以看到信号放大了。本项目所做的高通滤波器的功能就是对特定频率的频点或该频点以外的频率进行有效滤除,让有用信号尽可能无衰减的通过,对无用信号尽可能大的衰减,即:它允许信号中的高频分量通过,抑制低频。

关键词:高通滤波器,高阶,有源滤波器

High-pass filter is integrated filter function, it can filter out a certain number of second harmonic, and can reduce the filter back to the way.For the different filter, weakening degree of each frequency of the signal.Its also use bass in audio applications eliminate filter or noise filter.Second-order and fourth-order active high-pass filter is to allow the high frequency signal through the weakened, but the frequency (or decrease) is lower than the cutoff frequency signal through the filter.Circuit is designed based on chip UA741.After the signal source connected to the circuit board, adjust the function signal generator frequency, by observing the oscilloscope can see the signal amplification.This project have done the function of the high-pass filter is the frequency of certain frequency point or the points that the frequency of frequency effectively filter out, let the useful signal without attenuation by as much as possible, the useless signal attenuation as large as possible, i.e., the high frequency component is it allowed to pass, suppress low frequency.

Keywords:High-pass filter, High-order, Active filter

1 绪论

目前,滤波器被广泛地用在通信、广播、雷达以及许多仪器和设备中。滤波器的应用频率范围极宽,有适用于低到零点几赫的滤波器,也有高到微波波段的滤波器。根据滤波频率的中心频率和其他要求的不同,滤波器中采用各种谐振元件,电感、电容是最常用的谐振元件。随着电子技术的发展,许多电路和系统都要区分不同频率的信号,从而使滤波器的设计理论日趋完善。

Proteus ISIS是英国Labcenter公司开发的电路分析与实物仿真软件。它运行于Windows 操作系统上,可以仿真、分析(SPICE)各种模拟器件和集成电路。具有强大的原理图绘制功能。总之,该软件是一款集单片机和SPICE分析于一身的仿真软件,功能极其强大。

Cadence是一个大型的EDA 软件,它几乎可以完成电子设计的方方面面,包括ASIC设计、FPGA设计和PCB板设计。Cadence在仿真、电路图设计、自动布局布线、版图设计及验证等方面有着绝对的优势。

2 设计内容及要求

2.1设计的目的及主要任务

(1)学习Proteus软件和Cadence软件。

(2)设计二阶、四阶有源滤波器电路。

(3)利用Cadence软件对该电路设计原理图并进行PCB制版,用Proteus软件对该电路进行仿真。

2.2设计思路

(1)设计二阶高通滤波器的电路图,计算出电路元件的参数。

(2)在二阶高通滤波器电路的基础上,将两个二阶高通滤波器电路串联,得到一个四阶有源高通滤波器电路。

(3)将设计好的电路图放到Proteus软件中进行仿真。

(4)利用Cadence软件对该电路设计原理图并进行PCB制版

3 设计方案

3.1二阶高通滤波器电路

图1是一个有源二阶高通滤波器电路,为了提高它的滤波性能和带负载的能力,将该无源网络接入由运放组成的放大电路,组成二阶有源RC 高通滤波器。高通滤波电路的传递函数为:

()()()

2

002

2

0W Q SW S S A s Ui s U s H ++== (1-1)

图1 二阶有源高通滤波器电路 其传输函数为:

()()()()

vp vp v A sCR sCR A sCR s A ?+-+=

2

2

31 (1-2)

通带放大倍数: 1

1R R A f up += (1-3)

截止频率: RC

f p π21

= (1-4)

品质因数: up

A Q -=

31

(1-5) 3.2 四阶高通滤波器电路

四阶高通滤波器的特点是,只允许高于截止频率的信号通过,通过两个二阶高通滤波器电路的串联可得一个四阶高通滤波器电路。如图2所示是四阶高通滤波器的理想物理模型:

图2 四阶高通滤波器

3.3设计分析

3.3.1 四阶有源高通滤波器相关参数

(1)通带增益 2

1vp vp vp A A A ?= (2-1)

1

5

11R R A vp +

= (2-2) 3

7

21R R A vp += (2-3)

(2)传递函数 ()()()()

vp vp v A sCR sCR A sCR s A ?+-+=2

2

31 (2-4)

(3)频率响应 令RC f p π21=

up

A Q -=31

,则可得出频响表达式 ???

?

??+???? ??-=

f f Q j f f A A vp

v 02

011 (2-5)

3.3.2 参数计算

截止频率f=50Hz 的高通滤波器

电容器C 的容量应在微法数量级上,电阻的阻值应在几百千欧以内。 现选择电容大小C 1=C 2=C 3=C 4=0.1uF ,则根据公式可得:

()Ω=???==κπ8.310000001.05014.321

21

fC

R 。

所以选择: R=R=31.6千欧,C 1=C 2=C 3=C 4=0.1uF ,R f =33千欧,R 1=31.6千欧,则:

1

1R R A f up +==2.04(符合up A <3,能稳定工作)。

4 proteus仿真图及仿真结果

4.1 Proteus简介

4.1.1 Proteus 原理图绘制

电路原理图的设计与绘制的流程,包括设置电路图纸、放置元器件、调整元器件的布局、连接导线等步骤。打开PROTEUS软件,在原理图编辑窗口绘制电路图。在该界面环境下,还有预览窗口和元件列表区。编辑窗口用于放置元器件,进行连线,绘制原理图。预览窗口可以显示全部原理图。

首先要建立设计文件,选择合适的模板,并保存在预先建立好的文件夹中选择图纸,在Proteus中点击“File”->“New design”可以根据设计的规模选择页面的大小,选中后点击OK即可。如图3所示。

图3 选择模板页面

然后开始进行电路原理图的绘制了利用软件的搜索功能在元件库中找到需要的元件,放置到图纸的合适位置,并分别设置好各个元器件的参数,再在需要的位置放置图形文本框,最后将各个元器件连接起来,这样原理图就绘制成功了。然后对所绘制的电路原理图进行检查,如有错误就要作进一步的调整与修改,以保证原理图准确无误。并在绘制原理图结束后,保存原理图文件并在Proteus下进行仿真,实现相应功能。

4.1.2 Proteus仿真说明

电路原理图绘制好之后,即可进行功能的仿真,仿真功能模块在左下角,点击运行键,使整个电路开始运行,以查看电路的具体功能是否能够完全实现。经过仿真后的实验想象符合课设题目要求。

4.2 仿真电路

由上述各电路设计方案的论证以及电路中各元件正确的选择构成了下面整体的四阶有源高通滤波电路仿真电路图如下:

图4 四阶有源高通滤波器

图5 四阶有源高通滤波器电路图

4.3 仿真结果

如图4所示电路仿真得到滤波器的波特图,从图6中我们可以看到输入信号频率小于51Hz的很少通过电路,小很多的完全被截止,只有大于51Hz的信号可以完全通过。

图6 滤波器波特图

如图5所示,输入正弦波形由函数发生器提供,示波器的的A端口接输入端,B端口

接二阶高通滤波器的输出端,C端口接四阶高通滤波器的输出端,这样就可以同时观察到二阶,四阶滤波后的输出信号波形的不同。

确定电路准确无误后,点击左下角的仿真运行键,选择合适的输入信号频率,观察输出信号。

如图7所示:输入、输出的波形图(黄色为输入,蓝色为二阶输出,红色为四阶输出);输入信号的频率为20Hz,如图7中函数发生器所示,从图7中示波器显示波形对比输入和输出的波形图可以看出低频率信号被截止。且可以看出四阶有源高通滤波器滤除低频信号的能力远强于二阶有源滤波器。

图7 输入信号的频率f=20Hz的输入,输出波形图

如图8所示:输入、输出的波形图(黄色为输入,蓝色为二阶输出,红色为四阶输出);输入信号的频率为60Hz,如图8中函数发生器所示,从图8中示波器显示波形对比输入和输出的波形图可以看出高频率信号可以通过。且可以看出四阶有源高通滤波器高频信号通过的能力远强于二阶有源滤波器。

图8 输入信号的频率f=60Hz的输入,输出波形图

5 基于Cadence的PCB制版

5.1 Cadence简介

Cadence公司的OrCAD软件,是世界上应用最广的EDA软件之一,是EDA软件中一个比较突出的代表。OrCAD软件系统中主要包括:OrCAD/Capture CIS(电路图设计);OrCAD/PspiceA/D(数/模混合模拟);OrCAD/Layout Plus(PCB设计)等,其中每一个部分可以根据需要单独使用。OrCAD/Capture CIS:它是OrCAD软件包中的共用软件,也是其它两个软件的基础。从图1可以看出:在调用OrCAD/PspiceA/D和OrCAD/Layout Plus之前,都需要先运行它,它是一个功能强大的电路原理图设计软件,可以进入OrCAD/Layout Plus软件进行制板设计;OrCAD/Capture CIS操作界面友好、直观形象、使用方便;操作功能强大、灵活,项目管理科学有效,适应性很强,支持国际上多种标准。

OrCAD/Layout Plus:这是一个印制电路版PCB设计软件,可以直接将生成的电路图通过手工或自动布局布线方式转为PCB设计。OrCAD/Capture软件具有高水平的设计指标:可设计的最大电路板尺寸为68(英寸)2;层次可达30层;布线最高分辨率为1微米;放置元器件时旋转角度可精确到1分。另外还有自动推挤、矩阵布局、智能化敷铜、与多种PCB 设计软件可进行数据交换,包括与多种机械CAD软件交换数据,生成3维轮廓图形等功能。它的元器件封装库非常丰富,并且可以通过库管理器(Library Manager)对封装库进行编辑、修改和添加新的封装,OrCAD/Layout Plus软件在PCB制板界以其功能强大著称,是一款名副其实的高档、专业PCB设计EDA软件。

5.2 利用Cadence软件设计原理图

5.2.1 原理图的绘制

单击Windows屏幕左下方的“开始”菜单,在“所有程序”选项中单击Orcad Family Release 9.2,再选中单击capture CIS子选项。启动capture后,就可以建立工程了。在启动窗口中选择执行File/New/Project 子命令,屏幕上弹出如图9所示的New Project 对话框,在此对话框中进行设置后,点击OK。

图9 New Project 对话框

弹出如下图10所示对话框,选择Create a blank pro. 点击OK后进入绘图区。

图10 对话框

点击place/part放置元器件,此时就会弹出图11所示对话框,在此对话框中查找所需元件,在图11中的part栏输入R,然后单击PartSearch便会自动进行元件搜索,选择所需元件,然后单击OK便可放置相应的元器件。

图11 Place Part对话框

当所有元器件都放置好以后,对各元器件进行连线,并进行布局,便可得图12所示电路图。

图12 四阶有源高通滤波器总电路图

5.2.2 生成网络表

在工具栏中选PSPICE\Create Netlist,生成网表。网表用简单的格式给出所有元件的列表,在项目ProjectManager管理窗口(在文件窗口的左边)中双击Outputs/name.ne t文件可以查看生成的网表,如图13所示:

图13 网络表

5.3 PCB制板

5.3.1 Layout Plus编辑前准备

首先启动Layout Plus 软件后,进入Layout Plus管理窗口,在窗口中选择执行File New,屏幕上出现如图所示的Load Template File对话框。在对话框中选择一个合适的PCB模板即可。

图14 Load Template File对话框

模板文件选择后,屏幕上出现网络表文件调入对话框,要求用户指定电连接网络表文件名(扩展名为“。mnl”).这个文件是在OrCAD/Capture中完成的。完成这项后屏幕上出现文件名设置对话框。按Layout Plus默认设置,存放PCB设计结果的文件名与相应的电连接网络表文件的主名相同,扩展名为“.max”.点击“保存”按钮即可。这时程序开始载入电连接网络表文件和元器件,并生成有关的报告文件和错误信息记录文件,屏幕同时显示出进程信息。

如果网络表有问题,看问题出在哪里,查找出相应错误并及时改正,才能进行下一步操作。如果没有错误,屏幕上显示完进程信息后,就在Layout Plus编辑窗口中载入电路中所有的元器件的封装。这里需要说明的是,由于绘制电路图时所选元件是仿真库中的元器件,其自带封装,因此不需要选择后制作封装。元器件封装焊盘之间的“飞线”对应于电连接网络表文件中确定的电路拓扑结构连接关系。正确调入元器件封装后,即进入Layout Plus印制板电路编辑窗口,PCB设计和编辑的大部分工作都是在这个窗口中完成的。

5.3.2 Layout Plus软件的编辑

(1)定义板框在状态栏信息中,单击板层切换栏右边下拉按钮,找到0 Global Layer

层,切换过去。简单的定义了板框后,要求所有的元器件封装都在板框范围之内。

(2)元器件自动布局结束板框绘制,这里采用元器件自动布局功能,选择执行Auto/Place/Board子命令,Layout Plus及自动进行自动布局,完成自动布局后,屏幕上出现一个信息提示文本框,显示出自动布局所花费的时间。完成布局后,最好执行存盘命令,如果布局不合理在进行手工调整然后就可以进行pcb布线了。

(3)自动布线执行Auto/Autoroute Board命令,Layout Plus即自动进行布线工作,动态的将一条条飞线变为PCB上的连线。

(4)覆铜建立一个障碍物,确定障碍物形状即为覆铜区的形状,设置障碍物的参数。经过上述步骤后,四阶有源高通滤波器的PCB板就制作成功了,如下图15所示。

图15 PCB板

(整理)较为全面的基于PROTEUS仿真51单片机动态数码管课程设计(WORD版)

单片机课程设计 题目动态数码管显示 学院机电工程学院 专业班级电子信息工程12-1班 姓名 组员 指导教师张、王老师 2015 年 5 月30 日

课程设计量化评分标准

目录 一、概述 (1) 1. 单片机简介 (1) 2. Proteus简介 (2) 3. 设计任务与要求 (3) 二、硬件设计 (3) 1. 单片机最小系统设计 (1) 2. 数码管显示部分 (4) 3. 数码管驱动部分 (5) 三、软件设计 (6) 1. 仿真原理图 (6) 2. 仿真参数设置 (6) 3. 仿真结果 (7) 4. 程序流程图 (8) 5. 程序代码.................................................... .9 四、心得体会............................................... (11) 五、参考文献 (12)

精品文档 一、概述 1. 单片机简介 如图1.1和图1.2分别为PDI P封装的AT89C52引脚图和实物图 图1.1 引脚图图1.2 实物图 AT89C52是一个低电压,高性能CMOS8位单片机,片内含8k bytes的可反复擦写的Flash只读程序存储器和256 bytes的随机存取数据存储器(RAM),器件采用ATMEL公司的高密度、非易失性存储技术生产,兼容标准MCS-51指令系统,片内置通用8位中央处理器和Flash存储单元,AT89C52单片机在电子行业中有着广泛的应用。 AT89C52有40个引脚,32个外部双向输入/输出(I/O)端口,同时内含2个外中断口,3个16位可编程定时计数器,2个全双工串行通信口,2 个读写口线,AT89C52可以按照常规方法进行编程,也可以在线编程。其将通用的微处理器和Flash存储器结合在一起,特别是可反复擦写的 Flash存储器可有效地降低开发成本。 AT89C52有PDIP、PQFP/TQFP及PLCC等三种封装形式,以适应不同产品的需求。本课程设计中使用的是PDIP封装的AT89C52单片机。 2.Proteus简介 如图1.3为Proteus7.0的工作界面图

定时闹钟设计 课程设计报告

定时闹钟设计 摘要: 本设计目的是利用单片机设计制作一个简易的定时闹铃时钟,可以放在宿舍或教室使用,在夜晚或黑暗的场合也可以使用。可以设置现在的时间以及闹铃的时间并且显示出来,若时间到则发出一阵声响。 本次设计的定时闹钟在硬件方面就采用了AT89C52芯片,用6位LED数码管进行显示。LED用P0口进行驱动,采用的是动态扫描显示,能够比较准确显示时时—分分—秒秒。通过五个功能按键可以实现对时间的修改、定时和闹铃终止,闹钟设置的时间到时蜂鸣器可以发出声响。在软件方面用C51编程。整个定时闹钟系统能完成时间的显示,调时和设置闹钟、停止响铃等功能,并经过系统仿真后得到了正确的结果。 关键词:定时闹钟;蜂鸣器;AT89C52;74HC245;

目录 第1章绪论 (1) 1.1设计目的 (1) 1.2设计要求和任务 (1) 1.2.1设计要求: (1) 1.2.2设计任务: (1) 1.3论文主要内容 (1) 第2章系统总体设计 (2) 2.1系统设计需求 (2) 2.2总体设计方案 (2) 2.3系统软件 (3) 2.4系统硬件 (3) 第3章系统硬件设计 (4) 3.1系统硬件模块及功能 (4) 3.2主控模块 (4) 3.2.1主芯片AT89C52 (4) 3.2.2时钟电路设计 (7) 3.2.3 74HC245芯片 (7) 3.3 LED显示模块 (9) 3.4 按键模块 (9) 3.5警报模块 (10) 第4章系统软件设计 (11) 4.1系统软件设计概述 (11) 4.2主程序设计 (11) 4.3单片机的中断系统 (11) 4.3.1中断源 (11) 4.3.2中断的优先级别 (12) 4.4主程序 (12) 第5章系统测试 (13) 5.1测试内容 (13) 5.2测试环境 (13) 5.3测试步骤 (13) 5.3.1测试环境的构建 (13) 5.3.2测试内容 (14) 5.4测试结果 (14)

AVR proteus课程设计全套答案

A VR proteus课程设计题目具体要求 所有项目都有完整的代码和报告,有意者联系dyss@https://www.doczj.com/doc/5e16131295.html, 一、总体要求: 每组学生根据分配的题目认真进行硬件和软件的仿真设计,其中基本要求属于必做项,发挥部分作为提高要求。 本次A VR软件设计主要为下学期A VR课程设计(使用A Tmega128开发板)作准备。二、分类要求: 1、函数信号发生器类 基本要求: 1 用存储器或算法得到信号源,将获得的信号源存储在程序存储器中。 2 将程序存储器中的信号源全部取出存放在A Tmega128的内部存储器中,并用 DA转换器输出一函数信号(正弦、方波、三角、锯齿等,频率1000Hz),可 以用示波器进行波形观察。 3 用数码管或LCD或虚拟终端显示输出参数。 4 用功能键切换各信号的输出。 发挥部分: 1通过键盘,可改变波形的频率。每按一次键,频率值前进进或后退1倍,频率范围不限。 2通过按键可以修改输出波形的幅度。 3数码管或LCD或虚拟终端显示的内容可以用频率值和周期值切换表示。 4同时用两种不同方式显示输出参数 动态显示格式: 自定 2、频率计类 基本要求: 1频率的测量范围为250hz-10khz。 2使用proteus模拟信号激励源直接产生待测方波信号,用一组数码管或LCD或虚拟终端显示该信号的频率、周期以及脉宽等参数,并用示波器或定时/计数器观察 输入信号。 3将待测信号接至A Tmega128定时/计数器的外部信号输入端,测量此方波信号的频率、周期和脉宽,在另一组数码管或LCD上或虚拟终端上将参数值显示出来。 4信号源的参数可任选LED 、LCD或虚拟终端显示,测量得到的参数按题目要求选择显示方式。 发挥部分: 1放宽频率测量范围,并根据频率的不同智能选择不同的测量方法,以提高测量精度。 2可选用定时器/计数器的输入捕捉功能,以提高周期测量精度。 动态显示格式: 自定

PROTEUS 课程设计

课程设计任务书 学生姓名:专业班级:电子1102班 指导教师:工作单位:信息工程学院 题目:方波发生电路 初始条件: 计算机、Proteus软件、Cadence软件 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写 等具体要求) 1、课程设计工作量:1.5周 2、技术要求: (1)学习Proteus软件和Cadence软件。 (2)设计一个方波发生电路。 (3)利用Cadence软件对该电路设计原理图并进行PCB制版,用Proteus软件对该电路进行仿真。 3、查阅至少5篇参考文献。按要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 2015.1.12做课设具体实施安排和课设报告格式要求说明。 2015.1.12-1.15学习Proteus软件和Cadence软件,查阅相关资料,复习所设计内容的基本理论知识。 2015.1.16-1.20对方波发生电路进行设计仿真工作,完成课设报告的撰写。 2015.1.21提交课程设计报告,进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 摘要.....................................................................................................I Abstract................................................................................................II 1绪论.. (1) 2方案论证 (2) 3利用Proteus软件进行电路设计及仿真 (5) 4利用Cadence软件进行电路设计及PCB绘制 (9) 4.1电路原理图设计 (9) 4.2PCB设计 (10) 5心得体会 (13) 参考文献 (14)

proteus闹钟课程设计

题目:闹钟的设计 学生姓名:黄书林 学生学号: 1114010110 系别:电气信息工程学院 专业:自动化 年级: 11 级 任课教师:张水锋 电气信息工程学院制 2013年10月

目录 摘要 (2) 课程任务与要求 (2) 方案论证 (2) 闹钟流程图 (3) 单元电路: (6) 单片机芯片 (6) 八位数码管显示电路 (7) 闹钟调节按键电路 (9) 晶振电路 (10) 复位电路 (10) 蜂鸣器体相电路 (11) 总图: (12) 心得体会 (13) 参考文献 (13) 附录 (13)

闹钟的设计 学生:黄书林 指导教师:张水锋 电气信息工程学院自动化 摘要 通过学习《基于Proteus的51系列单片机设计与仿真》让我知道我们不仅需要有过硬的理论知识,还应该有动手实践的能力。并且是将理论结合实际, 提升到应用层面。以后走上社会,还是会有很多新的知识是需要我们学习的,届时需要我们有比较强的自学能力。此次《基于Proteus的51系列单片机设计与仿真》课程设计。对理论结合实际的动手能力和自学能力有很强的体现。本次设计是基于 AT89C51 单片机的数字闹钟的设计。 关键词:数字闹钟 AT89C51 Proteus。 课程任务与要求 本次课程的任务就是要以51系列单片机为核心设计一个闹钟,它能通过单片机实现秒、分、小时的进位24 小时制,将当前时分秒在七段 LED 显示器上显示。可设置闹钟的时间当前值对准一时间,设置闹铃时间,闹铃功能的关闭和开放。 要求:通过Proteus软件来实现设计的仿真,提高自己的编程水平,增加设计兴趣。通过做自己喜欢的设计,提高自学能力。为以后毕业走上工作岗位打下坚实的基础。 二方案论证 经分析,计算器电路包括三个部分:显示部分八位数码管、闹钟时钟按键、 单片机电路。具体分析如下: 1 显示部分 1.1 LCD显示 LCD1602作为一个成熟的产品,使用简单,模式固定,便于移植到各种类型的程序,微功耗、体积小、显示内容丰富、超薄轻巧的诸多优点,价格大概15块钱左右。 1.2数码管显示 数码管是一种半导体发光器件,其基本单元是发光二极管。数码管按段数分为七

基于proteus的数字电子钟的仿真设计

题目:基于Proteus的数字电子钟的设计 与仿真 课程名称:单片机系统设计与Proteus仿真 学生姓名:马珂 学生学号: 1305010323 系别:电子工程学院 专业:通信工程 年级: 13级 任课教师:徐锋 电子工程学院 2015年5月

目录 一、设计目的与要求 (3) 二、设计内容与方案制定 (3) 三、设计步骤 (3) 1.硬件电路设计 (3) 1.1.硬件电路组成框图 (3) 1.2.各单元电路及工作原理 (4) 1.3.绘制原理图 (5) 1.4.元件清单列表 (6) 2.程序设计 (6) 2.1程序流程 (6) 2.2汇编程序 (7) 四、调试与仿真 (12) 五、心得体会 (14) 六、参考文献: (14)

基于Proteus的数字电子钟的设计与仿真 一、设计目的与要求 设计目的:通过课程设计,培养学生运用已学知识解决实际问题的能力、查阅资料的能力、自学能力和独立分析问题、解决问题的能力和能通过独立思考。 设计要求:设计一个时、分可调的数字电子钟、开机显示“9-58-00”。 二、设计内容与方案制定 具有校时功能,按键控制电路其中时键、分键两个键分别控制时、分时间的调整。按分键分加1;按时键时加1。 以AT89C51单片机进行实现秒、分、时上的正常显示和进位,其中显示功能由单片机控制共阴极数码管来实现,数码管进行动态显示。 三、设计步骤 1、硬件电路设计 1.1.硬件电路组成框图 1.2.各单元电路及工作原理 (1)晶振电路 单片机的时钟产生方法有两种:内部时钟方式和外部时钟方式。本系统中

AT89C51单片机采用内部时钟方式。采用外接晶体和电容组成的并联谐振回路。其电路图如下: (2)键盘控制电路 键盘可实现对时间的校对,用两个按键来实现。按时键来调节小时的时间,按分键来调节分针的时间。其电路连接图如下: (3)显示电路 LED显示器是现在最常用的显示器之一发光二极管(LED)分段式显示器由7条线段围成8字型,每一段包含一个发光二极管。外加正向电压时二极管导通,发出清晰的光。只要按规律控制各发光段亮、灭,就可以显示各种字形或符号。显示电路显示模块需要实时显示当前的时间,即时、分、秒,因此需要6个数码管,采用动态显示方式显示时间,其硬件连接方式如下图所示。

基于8086与Proteus仿真的44键盘计算器的设计

基于8086与Proteus仿真的4*4键盘计算器的设计 一、设计目的 本次课程设计的实验目的是通过该实验掌握较复杂程序的设计。能够独立完成用程序对8086、8255控制键盘和LED显示的控制,完成计算器加减法的应用。独立编写程序,明白和掌握程序的原理和实现方式。为以后的设计提供经验。学习和掌握计算机中常用接口电路的应用和设计技术,充分认识理论知识对应用技术的指导性作用,进一步加强理论知识与应用相结合的实践和锻炼。通过这次设计实践能够进一步加深对专业知识和理论知识学习的认识和理解,使自己的设计水平和对所学的知识的应用能力以及分析问题解决问题的能力得到全面提高。 二、设计内容 设计计算器,要求至少能完成多位数的加减乘除运算。独立完成用程序对8086、8255控制键盘和LED显示的控制,完成计算器加减乘除的应用。 三、设计原理与硬件电路 设计的思路是:首先利用程序不断扫描键盘是不是有输入,如果没有就一直扫描,如果有就停止扫描,完成输入,利用汇编的程序核对输入键的数值,通过调用子程序完成数据的储存或者是加减的运算。运算完成后将运算的结果储存并显示到LED显示器上。 各部分硬件功能:

可编程并行通信接口芯片8255A 8255A内部结构:1. 并行输入/输出端口A,B,C 8255A内部包括三个8位的输入输出端口,分别是端口A、端口B、端口C,相应信号线是PA7~PA0、PB7~PB0、PC7~PC0。端口都是8位,都可以作为输入或输出。通常将端口A和端口B定义为输入/输出的数据端口,而端口C则既可以作数据端口,又可以作为端口A和端口B的状态和控制信息的传送端口。 2.A组和B组控制部件 端口A和端口C的高4位(PC7~PC4)构成A组;由A组控制部件实现控制功能。端口B和端口C的低4位(PC3~PC0)构成B组;由B组控制部件实现控制功能。 A组和B组利用各自的控制单元来接收读写控制部件的命令和CPU通过数据总线(D0~D7)送来的控制字,并根据他们来定义各个端口的操作方式。 3. 数据总线缓冲存储器 三态双向8位缓冲器,是8255A与8086CPU之间的数据接口。

proteus课程设计

沈阳航空航天大学电子信息工程学院 电子设计应用软件训练 总结报告 学生姓名: 专业: 班级: 学号: 指导教师: 训练时间:2013年7月8日至2013年7月12日 电子信息工程学院电子设计应用软件训练任务 【训练任务】:

1、熟练掌握PROTEUS软件的使用; 2、按照设计要求绘制电路原理图; 3、能够按要求对所设计的电路进行仿真; 【基本要求及说明】: 1、按照设计要求自行定义电路图纸尺寸; 2、设计任务如下: 51单片机内部定时计数器T0,按计数器模式和方式1工作,对P3.4(T0)引脚进行计数,将其数值P1口驱动LED灯上显示出来,由按键产生计数脉冲,LED 分别显示脉冲个数(10个以内)。 3、按照设计任务在Proteus 6 Professional中绘制电路原理图; 4、根据设计任务的要求编写程序,在Proteus下进行仿真,实现相应功能。 成绩: 一、任务说明 51单片机内部定时计数器T0,按计数器模式和方式1工作,对P3.4(T0)引脚

进行计数,将其数值P1口驱动LED灯上显示出来,由按键产生计数脉冲,LED分别显示脉冲个数(10个以内)。按照设计任务在Proteus 6 Professional中绘制电路原理图。 根据设计任务的要求编写程序,在Proteus下进行仿真,实现相应功能。 二、PROTEUS软件的使用 1、软件概述: Proteus ISIS是英国Labcenter公司开发的电路分析与实物仿真软件。它运行于Windows操作系统上,可以仿真、分析(SPICE)各种模拟器件和集成电路,该软件的特点是:①实现了单片机仿真和SPICE电路仿真相结合。具有模拟电路仿真、数字电路仿真、单片机及其外围电路组成的系统的仿真、RS232动态仿真、I2C调试器、SPI调试器、键盘和LCD系统仿真的功能;有各种虚拟仪器,如示波器、逻辑分析仪、信号发生器等。②支持主流单片机系统的仿真。目前支持的单片机类型有:68000系列、8051系列、AVR系列、PIC12系列、PIC16系列、PIC18系列、Z80系列、HC11系列以及各种外围芯片。③提供软件调试功能。在硬件仿真系统中具有全速、单步、设置断点等调试功能,同时可以观察各个变量、寄存器等的当前状态,因此在该软件仿真系统中,也必须具有这些功能;同时支持第三方的软件编译和调试环境,如Keil C51 uVision2等软件。④具有强大的原理图绘制功能。总之,该软件是一款集单机和SPICE分析于一身的仿真软件,功能极其强大。 图1 proteus工作界面 2、对象的添加和放置 点击工具箱的元器件按钮,使其选中,再点击IsIs对象选择器左边中间的置P 按钮,出现“Pick Devices”对话框。在这个对话框里我们可以选择元器件和一些

基于单片机的定时闹钟课程设计报告书

任务书 一、设计目的 本设计主要是对51单片机的一个方面的扩展,是能实现一般定时闹钟功能的设计。需要实现某一功能时,按对应的按键即可,经过多次验证,此设计灵活简便,可以实现显示、定时、修改定时、定时时间到能发出报警声的功能。 二、设计要求 1、能显示时时—分分—秒秒。 2、能够设定定时时间,并修改定时时间。 3、定时时间到能发出警报声。

目录 1.绪论 (1) 2.方案论证 (1) 3.方案说明 (2) 4.硬件方案设计 (2) 4.1单片机STC89C52 (2) 4.2 时钟电路 (4) 4.3数码管显示电路 (4) 4.4键盘电路 (6) 4.5报警电路 (7) 5.软件方案设计 (7) 5.1系统软件设计 (7) 5.2键盘程序 (7) 5.3 LED (8) 5.4音响报警电路 (8) 5.5 程序流程图 (8) 6.调试 (9) 7.小结 (10) 8.参考文献 (11) 9.附录:定时闹钟源程序 (12)

1.绪论 系统采用单片机STC89C52作为本设计的核心元件,在其基础上外围扩展芯片和外围电路,附加时钟电路,复位电路,键盘接口及LED显示器。键盘采用独立连接式。还有定时报警系统,即定时时间到,通过扬声器发出报警声,提示预先设定时间时间到,从而起到定时作用。 外围器件有LED显示驱动器及相应的显示数字电子钟设计与制作可采用单片机来完成。由于其功能的实现主要通过软件编程来完成,那么就降低了硬件电路的复杂性,而且其成本也有所降低,所以在该设计与制作中采用单片机STC89C52,它是低功耗、高性能的CMOS型8位单片机。片内带有8KB的Flash 存储器,且允许在系统内改写或用编程器编程。另外, STC89C52的指令系统和引脚与8051完全兼容,片内有512B的RAM、32条I/O口线、3个16位定时计数器、4个外部中断、一个7向量4级中断结构(兼容传统51的5向量2级中断结构)等。 在LED显示器中,分成静态显示和动态显示两类,在这个设计的最小系统中主要用了它的动态显示功能,动态显示器利用了人视觉的短暂停留,在数据的传输中是一个一个传输的,且先传输低位。 2.方案论证 单片机作为核心的控制元件,使得电路的可靠性比较高,功能也比较强大,而且可以随时的更新系统,进行不同状态的组合。 本系统采用单片机STC89C52作为本设计的核心元件,利用两个4位7段共阴LED作为显示器件。接入共阴LED显示器,可显示时,分钟,秒,单片机外围接有定时报警系统,定时时间到,蜂鸣器发出报警声,提示预先设定时间到。 电路由下列部分组成:时钟电路、复位电路、控制电路、LED显示、报警电路,芯片选用STC89C52单片机。 系统基本框图如图2.1所示:

proteus课程设计

皖西学院( Proteus专业应用软件训练总结报告 学生姓名:张字航 系、专业:电气1204 班级学号:2012011196 指导教师:翁志远 训练时间:2014年10月9日至2014年11月13日

目录 实验一:专业PROTEUS软件的使用 实验二:利用PROTEUS绘制简单的电路原理图实验三:PROTEUS的汇编语言仿真实验 实验四:Proteus与Keil联调方法 实验五:利用Proteus制作PCB板

实验心得和体会 专业PROTEUS软件的使用 (一)任务说明 本节Proteus专业应用软件训练课的主要内容是初步认识Proteus应用软件和基本操作及原理图绘制。首先认识ISIS窗口(启动PROTEUS ISIS),了解窗口各部分功能,如:菜单栏、编辑区、对象预览窗口、对象选择器、工具栏分类及其工具按钮、坐标显示(Co-ordinate Display)等。其次学习Proteus软件的各种基本操作,包括:建立和保存文件、PROTEUS文件类型、设定绘图纸大小、选取元器件并添加到对象选择器中、放置电源、地(终端)、电路图布线、设置修改元器件的属性、电器检测。最后根据要求绘制原理图和Proteus仿真。(二)原理图绘制说明

(三)proteus仿真说明 用汇编语言编写实现电路要求功能的源程序,应用Keil软件编写并编译运行程序,最终与电路原理图相连接,仿真实现其功能。下面介绍关于Keil软件的使用与Proteus远程调控监视安装Keil软件后,打开它,用Proteus画电路原理图Proteus中提供了非常丰富的元件与部件,可以轻而易举完成电路原理图的编辑。 (四)结果演示

定时闹钟课程设计

扬州大学水利与能源动力工程学院课程设计报告 题目:定时闹钟 课程:单片机原理及应用课程设计 专业:电气工程及其自动化 班级:电气1201 姓名:陈明飞 学号:121704102

第一部分 任 务 书

《单片机原理及应用》课程设计任务书 一、课题名称 详见《单片机课程设计题目(一)》:主要是软件仿真,利用Proteus软件进行仿真设计并调试; 《单片机课程设计题目(二)》:主要是硬件设计,利用单片机周立功实验箱进行设计并调试。 二、课程设计目的 课程设计是课程教学中的一项重要内容,是达到教学目标的重要环节,是综合性较强的实践教学环节,它对帮助学生全面牢固地掌握课堂教学内容、培养学生的实践和实际动手能力、提高学生全面素质具有很重要的意义。 《单片机原理及应用》是一门理论性、实用性和实践性都很强的课程,课程设计环节应占有更加重要的地位。单片机原理及应用课程设计的目的是让学生在理论学习的基础上,通过完成一个涉及MCS-51单片机多种资源应用并具有综合功能的小系统目标板的设计与编程应用,使学生不但能将课堂上学到的理论知识与实际应用结合起来,而且能进一步加深对电子电路、电子元器件等知识的认识与理解,同时在软件编程、排错调试、相关软件和仪器设备的使用技能等方面得到较全面的锻炼和提高。为今后能够独立进行某些单片机应用系统的开发设计工作打下一定的基础。通过单片机硬件和软件设计、调试、整理资料等环节的培训,使学生初步掌握工程设计方法和组织实践的基本技能,逐步熟悉开展科学实践的程序和方法。 三、课程设计内容 设计以89C51单片机和外围元器件构成的单片机应用系统,并完成相应的软硬件调试。 1. 系统方案设计:综合运用单片机课程中所学到的理论知识,学生根据所选课题的任务、要求和条件进行总体方案的设计。 2. 硬件电路设计:对方案中以单片机为核心的电路进行设计计算,包括元器件的选择和电路参数的计算,并画出总体电路图。 3. 软件设计:根据已设计出的软件系统框图,用汇编语言或C51编制出各功能模块的子程序和整机软件系统的主程序。 4. 调试:在单片机EDA仿真软件环境Proteus下进行仿真设计并调试;或在单片机周立功实验箱上进行相关设计并调试。 四、课程设计要求 详见《单片机课程设计题目(一)》 《单片机课程设计题目(二)》 五、进度安排

Proteus花样流水灯课程设计

Proteus花样流水灯课程设计

课程论文 题目:基于51单片机LED流水灯设计 课程名称: 学生姓名: 学生学号: 系别: 专业: 年级: 任课教师: 电气信息工程学院制 1月 基于51单片机的LED流水灯设计

1 单片机AT89C51芯片简介 MCS-51兼容4K字节,可编程闪烁存储器,寿命:1000写/擦循环,数据保留时间:。全静态工作:0Hz—24Hz,三级程序存储器锁定。128*8位内部RAM,32可编程I/O线,两个16位定时器/计数器,5个中断源可编程串行通道,低功耗的闲置和掉电模式,片内震荡器和时钟电路。 图1 AT89C51芯片

1.1电源引脚 Vcc(40脚):典型值+5V。 Vss(20脚):接低电平。 1.2外部晶振 XTAL1、XTAL2分别与晶振两端相连接。 1.3输入输出口引脚 P0口:I/O双向口。作输入口时,应先软件置“1”. P0口:是一个8位漏极开路输出型双向I/O端口。作为输出端口时,每位能以吸收电流的方式驱动8 个TTL输入,对端口写1时,又可作高阻抗输入端用。在访问外部程序或数据存储器时,它是时分多路转换的地址(低8位)/数据总线,在访问期间将激活内部的上拉电阻。 1.4控制引脚 RST、ALE/-PROG、-PSEN、-EA/Vpp组成了MSC-51的控制总线。 RST (9脚):复位信号输入端(高电平有效)。ALE/-PROG(30脚):地址锁存信号输出端.第一功能:编程脉冲输入。-PSEN(29脚):外部程序存储器读选通信号。-EA/Vpp(31脚):外部程序存储器使能端。第二功能:编程电压输入端(+21V)。 2硬件电路 2.1晶振电路 单片机晶振的作用是为系统提供基本的时钟信号。一般一个系统共用一个晶振,便于各部分保持同步。有些通讯系统的基频和射频使

proteus实验报告

Proteus 专业应用软件训练总结报告实验项目 项目一: Proteus 的基本操作与原理图绘制 一任务说明: 1.掌握Proteus仿真软件的的安装与破解 2.初步认识Proteus的运行环境及操作界面 3.画出所给电路图并进行仿真演示 二 Proteus 软件的安装及原理图绘制: 1.Proteus 软件的安装方法 1)首先解压安装文件,找到; (2)点击“YES,进入下一步,然后一直点击“ Next”,下一步,直到出现如图所示的添加License 界面; (3)点击“ Browse For Key File”,装入刚刚解压的“完美破解”的路径; 4)点击“ install ”,点击“是”,然后点击“ CLOS”E; (5)点击“next ”,需要改安装路径就改改,然后点击“ next ”,若不用PCB贝U将第二个图标不选,然后一直点“ next ”到完成。 (6)安装完成后需要导入钥匙,点击解压的文件如下图 (7)、点击“ browser”,将刚才的安装路径导进去 (8)、导进去后,点击“ up date ”,若失败就是路径不正确,重新导入路径,完成后点击“ CLOE”S ,安装完成。 2.Proteus 软件的原理图绘制 Proteus 软件成功安装之后,打开Proteus 下的ISIS 功能模块,则进入了原理图的绘制和 仿真界面。首先要了解各个功能区域的操作和功能: 1)编辑区域的缩放 P roteus编辑区域是放置电器元件和绘制原理图的区域,它的缩放操作多种多样, 极大地方便了工程项目的设计。常见的几种方式有:完全显示、放大按钮和缩小按钮,拖放、取景、找中心。

基于proteus和keil的单片机课程设计

2008年10fl 第5期(总第70期) 济南职业学院学报 JournalnfJinanVocationalCoRege Om.2008 No.5(SerialⅣ仉70) 基于Proteus和Keilfl匀单片机课程设计 宫亚梅 (常州信息职业技术学院机电工程系,江苏常州213164) 摘要:本文介.绍TProteus和Keil的功能特点,结合步进电机正反转实例,详细给出了两种软件在单片机课程设计中的具体应用。 关键词:Proteus;Keil;单片机;设计 中图分类号:TP391文献标识码:A文章编号:1673—4270(2008J05—0112—02 单片机应用技术是电类专业的一门重要课程,也是理论和实践结合性很强的一门课程,所以课程设计环节尤为萤要。课程设计环节的任务和目的是让每个学生亲自参与到其中的设计细节,提高单片机开发的能力。考虑到目前实验器材允设计过程中容易造成器件和仪器仪表的损坏,以及离开实验室学生得不到充分的锻炼,从而借助于Proteus和Keil进行课程设讯实践证明,这样可以很好地解决上述问题,节省设计成本,提高设计速度。 1Proteus和Keil简介 1.1Proteus简介 Proteus是一个完整的嵌入式系统软、硬件设计仿真平台,它包括原理图输入系统ISIS、带扩展的Prospice混合模型仿真器、动态器件库、高级图形分析模块和处理器虚拟系统仿真模型VSM。ISIS是Proteus系统的中心,具有超强的控制原理图设计环境。ProteusVSM最重要的特点是,它能把微处理器软件作用在处理器上,并和该处理器的任何模拟和数字器件协同仿真。仿真执行目标码就像在真正的单片机系统上运行,VSMCPU模型能完整仿真I/Ol=i、中断、定时器、通用外设口和其他与CPU有关的外设,甚至能仿真多个处理器。 1.2Keil简介 Keil是一个功能强大的开发平台,它包括项目管理器、CX51编译器、AX51宏汇编器、BL51/Lx51连接定位器、RTX51实时操作系统、Simulator软件模拟器以及Monitor51硬件目标调试器。它是一种集成化的文件管理编译环境,主要的功能特点为:编译C源程序、汇编源程序或混合语言源程序,链接和定位目标文件和库,创建HEX文件、调试目标程序等,是目前最好的5l单片机开发工具之一。Keil支持软件模拟仿真(Simulator)和用户目标板调试(Monitor51)两种工作模式,前者不需要任何单片机硬件即可完成用户程序仿真调试,后者利用硬件目标板中的监控程序可以直接调试目标硬件系统。 2应用举例 下面结合课程设计中四项八拍步进电机正反转电路的单片机实现,具体说明如何基于Proteus和Keil进行单片机仿真。电路的功能是,通过点击正反转按钮,让步进电机自如进行正反转的切换。 2.1硬件的实现 打开ProteusISIS编辑环境,通过对象选择按 收稿日期:2008—09一08 作者简介:宫亚梅(1979一),女,江苏姜堰人。常州信息职业技术学院机电工程系助教。 ?112? 万方数据

proteus控制数码管增减课设报告

一、任务说明 1、按照设计要求自行定义电路图纸尺寸; 2、设计任务如下: 利用51单片机和2位共阴极数码管及2个按键等器件,设计一个控制数码管增减的单片机系统,数码管显示范围为00-99。 3、按照设计任务在Proteus 6 Professional中绘制电路原理图; 4、根据设计任务的要求编写程序,在Proteus下进行仿真,实现相应功能。 二、应用PROTEUS软件绘制原理图的过程 1、进入proteus7 professional。 2、设置图纸尺寸。单击system,再单击set sheet sizes,将尺寸设置A4,单击OK。如图1所示。 图1 设置图纸尺寸 3、选择原理图所需要元件。点击左侧栏第二个图标,再点击P,在搜索栏输入需要的元件名称。数码管为7seg,排阻为respack-8,按键为button,单片机为89c51,晶振为crystal,电阻为res,电容有两种,分别为cap-elec,capacitor。如图2所示。

图2选择原理图所需要元件 4、选择电源及地线。单击左侧栏第8个图标,选择电源VCC,地线GROUND。如图3所示。 图3 选择电源及地线 5、设置元件参数。双击需要改变参数的元件,按需要修改参数。 6、连接时钟电路部分。鼠标单击需要连接的一段,这时鼠标变成连线,再将鼠标落在需要连接的另一端即可将原理图完成。如图4所示。

图4 时钟电路部分 7、连接共阴极数码管部分。因为数码管为共阴极,所以在连接P0口同时,与1k欧姆排阻相连,排阻另一端接5V直流电源,通过P2.6和P2.7控制数码管。如图5所示。 图5 数码管部分连接电路

电气信息工程学院Proteus课程设计报告格式仿真

课程设计课程:Proteus 学生XX: 学生学号: 院系:电气信息工程学院 专业:电子信息科学与技术班级:09电技(2)班 任课教师:

《Proteus》课程设计报告 学生XX:学号: 一、设计任务内容 如下列框图,当按下K1时,显示器显示模拟信号V1的测量值;当按下K2时,显示器显示模拟信号V2的测量值。信号源可以采用传感器或信号发生器产生。结合Proteus完成: 1、设计的硬件电路图; 2、软件操作流程图; 3、运行的结果界面抓图; 4、附设计的程序代码。 二、设计分析 此设计将Proteus软件和Keil软件结合设计仿真线路和程序,将连续的模拟电压信号经过A/D转换器转换成二进制数值,再经由单片机软件编程转换成十进制数值并通过显示屏显示。此设计采用2个开关进行控制,通过按键来选择显示哪一路数据。本实验采用AT89C51单片机,A/D转换用ADC0808,显示部分使用7SEG-MPX4-CA-BLUE。 主程序主要负责初始化工作:设置定时器、寄存器的初值,启动A/D转换,读取转换结果,处理量程转换响应,控制液晶显示等 A/D转换程序的功能是采集数据,在整个系统设计中占有很高的地位。当系统设置好后,单片机扫描转换结束管脚P1.7的输入电平状态,当输入为高电平则转换完成,将转换的数值转换并显示输出。若输入为低电平,则继续扫描。 三、总体设计 1.A/D转换 ADC0808是CMOS单片型逐次逼近式A/D转换器,它有8路模拟开关、地址锁存与译码器、比较器、8位开关树型A/D转换器。 ADC0808芯片有28条引脚,采用双列直插式封装,如下图所示。各引脚功能如下:1~5和26~28(IN0~IN7):8路模拟量输入端。 8、14、15和17~21:8位数字量输出端。

电子时钟课程设计

单片机课程设计 题目:电子时钟班级:

摘要 针对数字时钟的问题,利用8051单片机,proteus软件,vw(伟福)等软件,运用单片机中定时计数器T0,中断系统以及按键的控制实现了电子时钟的设计。设计的电子时钟通过数码管显示,并能通过按键的设计实现小时与分钟的调整。时间的启动与暂停等等。 关键字:数字时钟;单片机;定时计数器

1 引言 时钟,自他发明的那天起,就成为人类的朋友,但随着时间的推移,科学技术不断的发展,人们对时间计量的进度要求越来越高,应用越来越广。怎样让时钟更好地为人类服务,怎样让我们的老朋友焕发青春呢?这就要求人们不断设计出新型时钟。 现金,高精度的计时工具大多数都使用了石英晶体振荡器,由于电子钟,石英表,石英钟都使用了石英技术,因此走时精度高,稳定性好,使用方便,不需要经常调校,数字式电子钟用集成电路计时时,译码代替机械式传动,用LED显示器代替指针显示器,减小了计时误差,这种表具有时、分、秒显示的功能,还可以进行时、分的校对,片选的灵活性好。 时钟电路在计算机系统中起着非常重要的作用,是保证系统正常工作的基础。在一个单片机应用系 I\O

2 设计方案及原理 2.1 中断系统简介 MCS-51单片机提供5个硬件中断源,2个外部中断源,2个定时计数器T0和T1的溢出中断TF0和TF1,1个串行口发送TI和接收RI中断。 MCS-51单片机中没有专门的开中断和关中断指令,对各个中断源的允许和屏蔽是由内部的中断允许寄存器IE的各位来控制的。中断允许寄存器IE的字节地址为A8H,可以进行位寻址。系统复位时,中断允许寄存器IE的内容为00H,如果要开放某个中断源,则必须使IE中的总控置位和对应的中断允许位置“1”。 中断、 计数器、16 数码管显示器,通常的译码方式有两种:硬件译码方式和软件译码方式。LED数码管在显示时,通常有两种显示方式:静态显示方式和动态显示方式。在使用时可以把它们组合起来。在实际应用时,如果数码管个数较少,通常用硬件译码静态显示,在数码管个数较多时,则通常用软件译码动态显示。 2.4 设计思想 电子时钟是利用单片机内部的定时器\计数器来实现的,它的处理过程如下:首先设定单片机内部的一个定时器\计数器工作于定时方式,对机器周期计数形成基准时间,然后对基准时间计数形成秒,秒计60次形成分,分计60次形成小时,小时计24次则计满一天。然后通过数码管把它们的内容在相应位置显示出来即可。

proteus实验指导书

实验一基于proteus的交互式仿真和基于图表的仿真 一、实验目的: 1、熟悉Proteus的菜单界面。 2、熟悉原理图绘制工具; 3、掌握画原理图基本操作,完整绘制原理图的方法。 4、掌握proteus交互式仿真和基于图表的仿真的实现过程。 5、能够根据仿真结果掌握电路的工作原理及过程。 二、实验设备 安装有Proteus软件的计算机。 三、实验内容 通过实例掌握电路图的绘制方法。绘制电路的具体步骤如下: 1、新建设计项目及电路图。 2 、添加元件库。 3、调入并摆放元器件,需要时修改参数。 4、连线。 5 、电源、地的选择及连接。 图例如下: 图一 对上图进行交互式仿真。 图中各元件名称:电源:battery 滑动变阻器:pot-hg 定时器:555 电阻:resistor 电容:cap 虚拟仪器:示波器OSCILLOSCOPE 定时/计数器COUNTER TIMER

其中:R1=6.3k R2=10k C1=1uf 图二 对上图进行基于图表的仿真,在编辑窗口放置模拟分析图表。 图中运放的型号为:741 四、简答题 图一请回答下列问题: 1. 指出该图中虚拟仪器定时/计数器的工作模式; 2. 绘出该电路的输出波形;并测量其周期、频率以及占空比; 3.请说出改变滑动变阻器对该电路输出波形的影响。 图二请回答下列问题: 1.请写出显示信号源属性的方法。 2.说出图中运放的作用。 3.测量该电路的电压增益以及输出信号的周期,并简述输出与输入的频率及相 位的关系。

实验二RC低通滤波器的交流参数扫描分析和频率特性分析 一、实验目的: 1、掌握proteus的交流参数扫描分析方法; 2、掌握proteus的频率特性分析方法; 3、根据仿真结果掌握RC低通滤波器的工作原理。 二、实验设备 安装有Proteus软件的计算机。 三、实验内容 1.对下图进行交流参数扫描分析。 图中各元件名称: 电阻:resistor 电容:cap 正弦波信号源:sine 地:ground 信号源属性按下左图进行编辑: R1 10*X C1 1uF R1(1)C1(1)

基于与Proteus仿真的键盘计算器的设计

基于与P r o t e u s仿真的键盘计算器的设计 集团文件版本号:(M928-T898-M248-WU2669-I2896-DQ586-M1988)

基于8086与Proteus仿真的4*4键盘计算器的设计一、设计目的 本次课程设计的实验目的是通过该实验掌握较复杂程序的设计。 能够独立完成用程序对8086、8255控制键盘和LED显示的控制,完成计算器加减法的应用。独立编写程序,明白和掌握程序的原理和实现方式。为以后的设计提供经验。学习和掌握计算机中常用接口电路的应用和设计技术,充分认识理论知识对应用技术的指导性作用,进一步加强理论知识与应用相结合的实践和锻炼。通过这次设计实践能够进一步加深对专业知识和理论知识学习的认识和理解,使自己的设计水平和对所学的知识的应用能力以及分析问题解决问题的能力得到全面提高。 二、设计内容 设计计算器,要求至少能完成多位数的加减乘除运算。独立完成用程序对8086、8255控制键盘和LED显示的控制,完成计算器加减乘除的应用。 三、设计原理与硬件电路 设计的思路是:首先利用程序不断扫描键盘是不是有输入,如果没有就一直扫描,如果有就停止扫描,完成输入,利用汇编的程序核对输入键的数值,通过调用子程序完成数据的储存或者是加减的运算。运算完成后将运算的结果储存并显示到LED显示器上。 各部分硬件功能: 可编程并行通信接口芯片8255A 8255A内部结构: 1. 并行输入/输出端口A,B,C

8255A内部包括三个8位的输入输出端口,分别是端口A、端口B、端口C,相应信号线是PA7~PA0、PB7~PB0、PC7~PC0。端口都是8位,都可以作为输入或输出。通常将端口A和端口B定义为输入/输出的数据端口,而端口C则既可以作数据端口,又可以作为端口A和端口B的状态和控制信息的传送端口。 2.A组和B组控制部件 端口A和端口C的高4位(PC7~PC4)构成A组;由A组控制部件实现控制功能。端口B和端口C的低4位(PC3~PC0)构成B 组;由B组控制部件实现控制功能。 A组和B组利用各自的控制单元来接收读写控制部件的命令和CPU通过数据总线(D0~D7)送来的控制字,并根据他们来定义各个端口的操作方式。 3. 数据总线缓冲存储器 三态双向8位缓冲器,是8255A与8086CPU之间的数据接口。与I/O操作有关的数据、控制字和状态信息都是通过该缓冲器进行传送。 4. 读/写控制部件 8255A完成读/写控制功能的部件。能接收CPU的控制命令,并根据控制命令向各个功能部件发出操作指令。 CS 片选信号:由CPU输入,有效时表示该8255A被选中。 RD, WR 读、写控制信号:由CPU输入。RD有效表示CPU读8255A,WR有效表示CPU写8255A。RESET 复位信号:由CPU输入。RESET信号有

基于Proteus的简易机械时钟的设计和仿真

成绩 题目:基于Proteus的简易机械时钟的 设计和仿真 电子工程学院制 2015年5月 目录 摘要 (1) 1 绪论 (1) 1.1 LED机械时钟的背景 (1) 1.2 LED机械时钟国内的研究现状 (2) 1.3 LED机械时钟国外的研究现状 (2) 1.4 LED机械时钟的应用领域及发展 (2)

2 设计任务和要求 (2) 2.1仿真软件介绍 (2) 3.方案设计及论证 (3) 4硬件单元电路设计 (4) 4.1单片机最小系统 (4) 4.2特殊器件的介绍 (4) 4.2 机械时钟显示模块 (7) 5 软件设计与流程图 (9) 5.1程序设计说明 (9) 5.2 程序流程图 (10) 6.系统电路图及仿真效果图........................................................................................ 错误!未定义书签。 6.1 系统电路图.................................................................................................... 错误!未定义书签。 6.2 仿真效果图 (11) 6.3系统电路的PCB设计 (12) 6.4 结论 (12) 7 总结 (13) 附录1:元件清单 (14) 附录2:程序 (15)

基于Proteus的简易机械时钟的设计和仿真 摘要:随着社会的发展,LED灯的运用也越来越广泛,本文针对当今社会使用LED灯较为广泛的情况下,在缺少利用LED灯做一些生活中的小工具的背景下,提出利用单片机AT89C52微处理器作为主控元件设计LED机械时钟,实现了LED灯的运用进入平常生活中,达到了实现准点报时的时钟功能,并通过proteus仿真软件仿真其工作过程,验证机械时钟设计的可靠性,达到了设计目的。对后继LED的发展进入民用阶段,及LED在民间的普及有很大的促进作用。 关键词:单片机AT89C52,LED点阵,机械时钟。 前言:本文针对当今社会使用LED灯较为广泛的情况下,在缺少利用LED灯做一些生活中的小工具的背景下,提出利用单片机AT89C52微处理器作为主控元件设计LED机械时钟,实现了LED灯的运用进入平常生活中,达到了实现准点报时的时钟功能,并通过proteus仿真软件仿真其工作过程,验证机械时钟设计的可靠性,达到了设计目的。 1 绪论 1.1 LED机械时钟的背景 在大型商场、车站、码头、地铁站以及各类办事窗口越来越多的场所需用LED点阵显示图形和汉字。LED行业已成为一个快速发展的新兴产业,市场空间巨大,前景广阔。随着信息产业的高速发展,LED显示作为信息传播的一种重要手段,已广泛应用于室内外需要进行服务内容和服务宗旨宣传的公共场所,例如户内外公共场所广告宣传、机场车站旅客引导信息、公交车辆报站系统、证劵与银行信息显示、餐馆报价信息显示、高速公路可变情报板、体育场馆比赛转播、楼宇灯饰、交通信号、景观照明等。显然,LED 显示已成为城市亮化、现代化和信息化社会的一个重要标志。

相关主题
文本预览
相关文档 最新文档