当前位置:文档之家› 供求信息网网站系统分析与设计报告实验报告

供求信息网网站系统分析与设计报告实验报告

供求信息网网站系统分析与设计报告实验报告
供求信息网网站系统分析与设计报告实验报告

北京服装学院商学院

上机实验报告

实验名称:大众供求信息网的设计与开发学生姓名:

班级学号:

指导教师:

实验日期:

基于B/S架构的管理信息系统的设计与开发分析报告

——大众供求信息网的设计与开发

一网站的系统规划和系统分析

1网站概述

供求信息平台:供求信息平台是互联网上发布供求信息、进行网络推广的一个网站或者载体。它可以分为全球性的或地域性的,综合性的或行业性的,等等。目前有代表性的门户综合型如阿里巴巴、慧聪、供求平台、环球资源等,行业性的如中国化工网、中国鞋网、环球服装网等。

2网站系统的需求分析

大众供求信息网可以为政府、公司及个人提供所需的信息,给公司及个人的自主管理带来很大的方便。本网站提供免费的信息发布平台、推荐的企业广告信息平台,方便每个使用者发布信息。管理员在后台对用户发布的信息进行审核,使得信息质量得到了提高。大众供求信息网,全面为公司及个人服务,为每一个人的生活、工作、学习带来便利。使得个人及企业更好、更方便的对供求信息进行浏览、查询、发布、和获取,以更好的满足个人及企业对供求信息不断增长的需求,本次毕业设计特构建大众供求信息网。本次开发的大众供求信息网站是关于人们生活中遇到的公寓信息、求职信息、招聘信息、培训信息、家教信息、车辆信息、出售信息等进行设计,为人们的生活、学习、工作带来方便。

3网站项目的开发目的分析

通过完成本次设计,大众供求信息网站开发完成后,要实现能够为企业及个人的生活、工作带来方便。大众供求信息网的主要目标是为个人提供强大的信息搜索及信息发布功能,为家长解决帮孩子找家教,为企业解决招聘人员、培训人员,为企业产品宣传节约大量成本,使得这样的供求信息网站更好的服务于每一个人!

4网站功能定位需求分析

5网站的可行性及风险分析

5.1技术可行性;技术风险分析及对策

PHP作为网站开发语言,支持面向对象编程,适合大型项目的开发。相对于ASP,PHP执行效率远远高于ASP,在配置方面与JSP相比,PHP显得十分简单。PHP跨平台性非常好,它几乎可以支持目前流行的所有操作系统及数据库平台。PHP支持多种Web服务器,数据库方面采用小巧且功能强大的MySQL数据库,配合Apache服务器,能最大效率的发挥系统的功能。从技术这一方面来讲,我觉得自己完全可以利用PHP进行本次网站开发。

5.2经济可行性分析,投资及效益分析;经营风险及对策

随着因特网的发展日新月异,无论是国外还是国内,网站已成为政府、公司、企事业单位信息化建设中的重要组成部分。由于供求信息网站有大量的最新信息,无论是企业还是个人每天都会进行搜索信息、获取信息及发布信息等。在进

行不同人群社会调查以问卷的方式询问人们平常上网的主要活动及主要目的是什么的时候,大约72%的人们填写的答案是查阅资料,还有将近50%的人们是发布信息。可见,不管是企业还是个人更加倾向于在网络上获取所需要的信息。在生活节奏快的今天,工作的人们通过网络获取信息及发布信息,能够大大节约个人的时间及提高企业的工作效率,减少不必要时间的浪费。

5.3管理可行性分析,管理风险及对策

首先,供求信息是每一个人都会关注的问题,网络普及每天上网搜集自己需要信息的人非常多。开发这样的一个供求信息网站,只需要一人完成,不需要花费额外的费用。

其次,分析系统的运行和维护费用,主要包括软硬件设施、人员费用、材料费用等。这项设计由自己开发,所以相比一个大型的软件开发费用,是比较低廉的,维护方面,也是可以一个人完成。

这样的网站可以为人们带来方便,对有些信息收取一定费用的同时大多数信息免费为人们开放,势必会有很好的经济效益和社会效益。因此,从经济角度来讲,开发这样的网站具有可行性。

6网站的商业模式和盈利模式分析

6.1商业模式分析:

大众供求信息网定位为B2C及C2C的商业模式,可以为政府、公司及个人提供所需的信息,给政府、公司及个人的自主管理带来很大的方便。本网站提供免费的信息发布平台、推荐的企业广告信息平台,方便每个使用者发布信息。大众供求信息网,全面为政府、公司及个人服务,为用户的生活、工作、学习带来便利。使得个人以及企业更好、更方便的对供求信息进行浏览、查询、发布、和获取,以更好的满足个人及企业对供求信息不断增长的需求。通过这一方面的分析研究开发这样的网站具有潜在力。

6.2盈利模式分析:

网站的主要盈利项目来源于网络点击广告的收入,同时对有需要发布首页关键位置的客户进行收费以维持网站的运营。

6.3市场风险及对策(竞争对手分析,服务,模式和品牌)

企业网站、门户网站、黄页等的区别企业网站、门户网站、黄页等的针对性没有供求平台那么强,而且他们主要是提供信息,也就是都是“供”,很少有“求”方面的信息,但是供求平台在这2个方面都是等同的。

供求信息平台是互联网上发布供求信息、进行网络推广的一个网站或者载体。它可以分为全球性的或地域性的,综合性的或行业性的,等等。目前有代表性的门户综合型如阿里巴巴、慧聪、供求平台、环球资源等,行业性的如中国化工网、中国鞋网、环球服装网等。

6.4网站的业务流程分析(从客户需求角度)

二、网站系统的总体结构设计

1网站的技术方案设计

1.1硬件设计(机房,服务器选择,网站空间选择(自建))

1.2软件设计(操作系统,数据库平台,开发工具和静态、动态网站开发语言)

1.3网站的安全设计(用户分级权限管理,防火墙,双机热备份,CA认证)

1.3.1ID权限

在用户表里有一个字段表示权限,权限表里的id以逗号隔开,在后台只要判断逗号隔开的字符串里有没有对应的权限;建立一个用户表和一个权限表,如:create table users (id int primary key auto_increment,name varchar(100),privilege varchar(255))

create table privilege (privilege varchar(255),name

varchar(100),operate_html varchar(100))

1.3.2双热机备份

使用两台服务器,互相备份,共同执行同一服务。当一台服务器出现故障时,可以由另一台服务器承担服务任务,从而在不需要人工干预的情况下,自动保证系统能持续提供服务。

1.3.3CA认证

负责签发证书、证书、管理已颁发证书的机关。它要制定政策和具体步骤来验证、识别用户身份,并对用户证书进行签名,以确保证书持有者的身份和公钥的拥有权。

1.4网络拓扑结构

2网站的系统设计目标、内容(栏目)和功能设计

2.1网站的设计实现目标(前台功能,后台功能,安全功能特点)

3.2网站系统的系统流程设计

前台主要是让用户检索信息、发布信息、显示八种类型已经发布的信息以及

推荐的广告信息。各个部分及其包括的具体功能模块如下图所示:

图4-1 前台功能模块

后台主要是管理员对发布的信息进行审核、发布信息、帮助企业商发布广告信息以及自己查看并修改管理员信息等。各个部分及其包括的具体功能模块如下图

图4-2后台功能模块

4网站页面总体结构设计

4.1网站页面链接结构

网站目录结构

4.2网站地图

三、网站后台数据库设计

本实验使用mysql数据库,通过appserver可以对数据库进行配置;如图:在地址栏输入http://127.0.0.1进入数据库

1.创建数据库

本次网站开发建立的数据库名为:db_pursey。进入网站后台名字用“root”,密码也是“root”。建立db_pursey数据库代码如下:

$con = mysql_connect("localhost","root","root");

if (!$con)

{

die('Could not connect: ' . mysql_error());

}

if (mysql_query("CREATE DATABASE db_pursey",$con))

{ echo "Database created";

} else

{

echo "Error creating database: " . mysql_error();

}

mysql_close($con);?>

2.选择数据库进行操作

3.创建的表如图所示(表结构下面会一一陈述)

4.连接数据库

在安装数据库时规定的名字是root,密码也是root,只是为了方便记忆使它们相同。通过在Dreamweaver中输入代码连接后台数据库,代码如下:

$conn=mysql_connect("localhost","root","root") or die("数据库服务器连接错误".mysql_error());

mysql_select_db("db_pursey",$conn) or die("数据库访问错误".mysql_error());

mysql_query("set names gb2312");

?

5.数据库表设计

数据表是用于前台检索信息所要用的,所要检索的信息全部包含在后台所要设计的数据表中。管理员通过操作后台数据库可以更改一些信息,将一些有用信息进行审核显示到前台,同时将一些不合格的或者垃圾信息进行删除。

5.1对大众供求信息网中的数据表的逻辑结构进行设计,列出下列数据项及数据结构:

1)管理员表基本信息:包括管理员编号id、姓名、密码。

2)广告表基本信息:包括广告编号id、主题、内容、发布日期、发布状态。3)发布信息表基本信息:包括发布信息编号id、类型、主题、内容、联系电话、联系人、检查状态、发布时间。

5.2数据表的设计是本次网站开发设计的一个核心内容。根据前面对网站前台与后台功能模块的分析和对数据库中实体关系的设计,可以看到网站中所用到的数据信息基本包括:管理员信息表、推荐企业广告信息表、发布免费信息表。表名分别用tb_admin、tb_advertising、tb_info表示。下面是为数据库设计的表:

(1)管理员信息表tb_admin

管理员信息表tb_admin用来登录后台界面。结构如下表5-1所示。

表5-1 tb_admin

(2)企业广告信息表tb_advertising

企业广告信息表tb_advertising用来显示推荐的企业广告信息。结构如下表5-2所示。

表5-2 tb_advertising

(3)发布免费信息表tb_info

发布免费信息表tb_info用来显示用户以及管理员所发布的信息。结构如下表5-3所示。

表5-3 tb_info

五、网站系统实施(系统实施)(系统的界面展示和简介)

1网站目录结构图(文件夹的组织结构)

2网站前台界面和各模块详细设计与实现(界面展示和主要代码列表)

2.1前台

前台主页面如图所示。前台主要显示供求信息,及发布免费信息。单击“我要发布”按

钮,即可发布免费信息,信息发布后只有在后台由管理审核后才可以显示到前台。

前台主页面

前台主要代码:

易查供求信息网

2.2网站后台管理模块的详细设计与实现(界面展示和主要代码列表)

后台

单击前台页面上方的“管理员登录”按钮,进入后台登录页面,输入管理员用户名及密码即可进入后台管理主页面,如图1.3所示。后台主要实现了添加付费信息、广告信息,查询、审核及删除供求信息等操作。

后台主页面

后台主要代码:

易查供求信息网

六、总结

通过《管理信息系统》课程的理论学习和上机实验的实践,基本掌握了一个管理信息系统的开发流程和步骤,具备了初步设计一个管理信息系统的能力本次开发的大众供求信息网站,采用的是PHP+MySQL+Apache模式,采用流行的网页开发软件Dreamweaver作为开发工具,整个系统的代码规范完整,利用CSS进行网页布局。由于是第一次独立开发这样的网站,对PHP语言不是很熟,设计原则也不是发过程中遇到了一些问题,后来在赵乃东老师及同学的的帮助下,问题得到了解决,使得我的网站开发顺利进行。

虽然以前没有接触过PHP,更没有参与过其他项目的系统开发,没有系统开发策划的经验,但是因为我对网站设计项目特别感兴趣,也想毕业后从事这方面的工作,因此,在做毕业设计的时候我就下定决心必须好好学PHP,认真的做一次网站开发。在网站开发过程中,我尝到了开发网站那让人又痛苦又快乐的感受:问题不断的出现,又不断的得到解决,一步一步的前进。随着系统的逐步完善,我对以前所学知识的领悟得到了提升,能力得到了提高,所学的知识得到了综合运用。通过这次网站开发,将理论与实践相结合,使我对网站开发和数据库设计有了更真实的体会,使我得到了一次很有价值的实践。这次我的

收获很多,我学会了自己解决问题,学会了坚持。但由于个人缺乏经验,系统中存在着很多的不足,比如没有实现没有实现针对性职位推荐等。但我相信自己在以后的工作过程中,一定能考虑多方面的需求,尽力完成一个网站所力求达到的高度,让自己在这一行业表现的更出色!

毕业论文管理系统分析与设计说明

毕业论文管理系统分析与设计 班级:信息管理与信息系统 1102 指导教师:黄立明 学号: 0811110206 姓名:高萍

毕业论文管理系统 摘要 (3) 一.毕业论文管理系统的系统调研及规划 (3) 1.1 项目系统的背景分析 (3) 1.2毕业论文信息管理的基本需求 (3) 1.3 毕业论文管理信息系统的项目进程 (4) 1.4 毕业论文信息管理系统的系统分析 (4) 1.4.1系统规划任务 (4) 1.4.2系统规划原则 (4) 1.4.3采用企业系统规划法对毕业论文管理系统进行系统规划 (5) 1.4.3.1 准备工作 (5) 1.4.3.2定义企业过程 (5) 1.4.3.3定义数据类 (6) 1.4.3.4绘制UC矩阵图 (7) 二.毕业论文管理系统的可行性分析 (8) 2.1.学院毕业论文管理概况 (8) 2.1.1毕业论文管理的目标与战略 (8) 2.2拟建的信息系统 (8) 2.2.1简要说明 (8) 2.2.2对组织的意义和影响 (9) 2.3经济可行性 (9) 2.4技术可行性 (9) 2.5社会可行性分析 (9) 2.6可行性分析结果 (10) 三.毕业论文管理系统的结构化分析建模 (10) 3.1组织结构分析 (10) 3.2业务流程分析 (11) 3.3数据流程分析 (11) 四.毕业论文管理系统的系统设计 (13) 4.1毕业论文管理系统业务主要包括 (13) 4.2毕业论文管理系统功能结构图 (13) 4.3代码设计 (14) 4.4,输入输出界面设计 (15) 4.4.1输入设计 (15) 4.4.2输出设计 (15) 4.5 数据库设计 (15) 4.5.1需求分析 (15) 4.5.2数据库文件设计 (16) 4.5.2数据库概念结构设计 (17) 五.毕业论文管理系统的系统实施 (18) 5.1 开发环境 (18) 5.2 调试与测试过程 (19)

《管理信息系统》课程设计实验报告

《管理信息系统》课程设计实验报告 课程名称:管理信息系统 指导老师: ******* 院系:商学院 专业班级: ******** 姓名: ******** 学号: ******** 实验日期: 2011.7.11 实验地点:一机房

《管理信息系统》课程设计任务书 一.课程设计目的及意义: 《管理信息系统》课程设计是在完成《管理信息系统》课程学习之后的一次实践性教 学,是本课程理论知识的一次综合运用。通过本课程设计,能够进一步加深对信息、信息系 统、管理信息系统等基础理论知识的理解,能初步掌握结构化的生命周期法、面向对象法等 系统工程方法,进一步加强熟练应用管理信息系统的操作技能,并能够借助于管理信息系统 解决实际问题。 二.课程设计要求: 1.本课程设计时间为一周。 2.本课程设计以教学班为单位进行上机操作及实验。 3.按照任务要求完成课程设计内容。 三.课程设计任务要求: 1.任务内容:进入山东轻工业学院主页,在“网络资源”区域进入“网络教学平台”,输入各自的用户名和密码(学生学号及密码),进入本网络教学平台系统,在充分熟悉本系统 的前提下,完成下列任务要求。 2.任务要求: ①按照课程讲解的系统分析步骤和理论对本系统进行系统分析。 ②绘制不少于 3 个的主要业务流程图。 ③描述上述主要业务流程图的逻辑处理功能。 ④分析本系统的优缺点,提出改进意见,并描述改进的逻辑处理功能,绘制业务流 程图。 四.课程设计评分标准: 按照《管理信息系统课程设计大纲》的要求,本课程 1 学分,采用百分制计分,其中 任务要求②占30 分,任务要求③占30 分,任务要求④占30 分,考勤及实践表现占10 分。五.本课程设计自2011 年 6 月 27 日至 2011 年 7 月 1 日。

系统分析与设计复习题汇总1_参考答案

系统分析与设计复习题 第1章信息系统分析员涉及的领域 一、填空题: 1. 系统分析是理解并详细说明信息系统应该是做什么的过程。 2. 系统设计是详细说明信息系统的许多组件在物理上是怎样实施的过程。 3. 信息系统是相互联系、相互作用的部件集合。其收集、处理、存储和产生完成业务任务所需信息的输出。 4. 作为一个系统的分析员所需的技能包括:专业技术知识和技能、业务知识和技能、 人的知识和技能。 5. 业务流程重组指研究、分析和重新设计企业的基本业务过程,为企业降低成本和/或提高效益。 6. 事务处理系统是收集和记录影响组织的事务信息的信息系统。 7. 决策支持系统与基于知识的系统是允许用户探究有效的选择或决策效果的支持系统或自动制定决策路线。 二、选择题 1. 一个组织为核心信息系统提交使用一组集成的软件包的过程,称 C A. 战略规划 B. 信息系统战略规划 C. 企业资源规划 D. 应用程序结构计划 2. 接收事务处理系统收集的信息并为管理人员生成计划和控制业务所需报表的信息系统:D A. 决策支持系统 B. 基于知识的系统 C. 企业应用 D. 管理信息系统 3. 支持企业范围内的操作与数据的高度集成的信息系统,通常包括TPS、MIS等系统的某些方面,则该系统称 C A. 决策支持系统 B. 基于知识的系统 C. 企业应用 D. 管理信息系统 4. 是为实现业务职能,一个组织所需的集成信息系统的说明,其常列出整个信息系统的数据清单。称 A A. 应用程序结构计划 B. 技术结构计划 C. 企业应用 D. 信息系统战略规划 5. 实现计划的信息系统所需的硬件、软件和通信网络的说明,称: B A. 应用程序结构计划 B. 技术结构计划 C. 企业应用 D. 信息系统战略规划 三、判断题 1. 企业资源计划(ERP)是一个组织为核心信息系统提交使用一组集成的软件包的过程。对 2. 企业资源计划软件购买后可直接被企业应用,无需再根据企业的业务流程定制。错

数字钟设计报告——数字电路实验报告

数字钟设计实验报告 专业:通信工程 姓名:王婧 班级:111041B 学号:111041226

数字钟的设计 目录 一、前言 (3) 二、设计目的 (3) 三、设计任务 (3) 四、设计方案 (3) 五、数字钟电路设计原理 (4) (一)设计步骤 (4) (二)数字钟的构成 (4) (三)数字钟的工作原理 (5) 六、总结 (9) 1

一、前言 此次实验是第一次做EDA实验,在学习使用软硬件的过程中,自然遇到很多不懂的问题,在老师的指导和同学们的相互帮助下,我终于解决了实验过程遇到的很多难题,成功的完成了实验,实验结果和预期的结果也是一致的,在这次实验中,我学会了如何使用Quartus II软件,如何分层设计点路,如何对实验程序进行编译和仿真和对程序进行硬件测试。明白了一定要学会看开发板资料以清楚如何给程序的输入输出信号配置管脚。这次实验为我今后对 EDA的进一步学习奠定了更好的理论基础和应用基础。 通过本次实验对数电知识有了更深入的了解,将其运用到了实际中来,明白了学习电子技术基础的意义,也达到了其培养的目的。也明白了一个道理:成功就是在不断摸索中前进实现的,遇到问题我们不能灰心、烦躁,甚至放弃,而要静下心来仔细思考,分部检查,找出最终的原因进行改正,这样才会有进步,才会一步步向自己的目标靠近,才会取得自己所要追求的成功。 2

二、设计目的 1.掌握数字钟的设计方法。 2熟悉集成电路的使用方法。 3通过实训学会数字系统的设计方法; 4通过实训学习元器件的选择及集成电路手册查询方法; 5通过实训掌握电子电路调试及故障排除方法; 6熟悉数字实验箱的使用方法。 三、设计任务 设计一个可以显示星期、时、分、秒的数字钟。 要求: 1、24小时为一个计数周期; 2、具有整点报时功能; 3、定时闹铃(未完成) 四、设计方案 一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器和定时器组成。干电路系统由秒信号发生 3

信息系统分析与设计论文

1 绪论 (1) 1.1引言 (1) 1.2系统设计目标 (1) 2 系统可行性分析 (2) 2.1社会可行性 (2) 2.2技术可行性 (2) 3 系统需求分析 (5) 3.1数据流程图 (5) 3.2数据字典 (10) 4 系统设计与实现 (11) 4.1软件系统架构的选择 (11) 4.2系统模块的划分 (12) 4.3各模块功能的描述 (13) 4.4系统数据库设计 (14) 4.4输入设计 (18) 5 结束语 (23) 参考文献 (24)

1 绪论 1.1引言 在经济和技术飞速发展的今天,伴随着我国改革开放的成功和国民经济的快速增长,如何适应现代化企业管理的要求,符合WTO 的管理规则,如何管理好这些固定资产,合理配置资源,增创企业的更大经济效益,已成为企业当前十分艰巨而紧迫的任务。 充分利用与开发信息技术,迅速处理及交换大量信息,解脱繁琐的人工事务劳动,已成为企业改革的迫切需要。 传统的管理模式,不可能对资产的使用情况、闲置情况、报废情况、维修情况及设备完好率程度等进行及时汇总,半年或1年才进行1次资产检查且只停留在帐、卡、物相符的层面上。在这一过程中,势必会造成设备的重复投资、资源的浪费、资产盘亏而主管部门浑然不知的现象。在手工方式下,不能实时地统计出资产具体情况,特别对资产的短缺、损失的情况根本无法察觉,得到的数据反映的信息量相当有限,只能粗略地知晓是否有该资产存在和其价值,但对资产目前使用部门、以前使用部门、已使用年限等相关信息难以获取,更难以获得综合的统计分析资料。因此,良好的资产管理可以减少浪费,提高资产的利用率,直接降低企业运行成本。[1]为了使企业的固定资产管理工作更加规范化、制度化、科学化,提高固定资产的利用率、完好率,使其发挥更大效益,固定资产的管理显得非常重要。因为土地与房屋投资大、使用周期长的特点,土地与房屋自然成为固定资产管理的重要管理对象。 提升资产管理“系统化、规范化的程度”,降低管理的不确定性和变异性,使管理者对企业的资产管理实时而全面准确,最好的方法是对资产进行信息化管理。通过进行固定资产的管理能够: 1.提高企业资产管理质量[2]; 2.完善企业资产管理内控机制,提高企业管理水平; 3.提高固定资产整体管理水平; 4.减轻资产管理员的工作强度,提高工作效率。 1.2系统设计目标

系统设计实验报告

系统设计实验报告——远程在线考试系统

目录软件需求说明书························1 引言··························· 1.1编写目的······················· 1.2背景························· 1.3定义························· 1.4参考资料······················· 2 程序系统的结构························ 3 程序设计说明·························

1引言 1.1编写目的 本文档的编写目的是为远程在线考试系统项目的设计提供: a.系统的结构、设计说明; b.程序设计说明; c. 程序(标识符)设计说明 1.2背景 随着网络技术的飞速发展,现在很多的大学及社会上其它的培训部门都已经开设了远程教育,并通过计算机网络实现异地教育。但是,远程教育软件的开发,就目前来说,还是处于起步的阶段。因此,构建一个远程在线考试系统,还是有很大的实际意义的。 根据用户提出的需求,本项目组承接该系统的开发工作 a.开发软件系统的名称:远程在线考试系统 b.本项目的任务提出者:福州大学软件学院 c.用户:各类大专院校学校、中小学校。 1.3定义 远程在线考试系统 远程在线考试系统是基于用Browser/Web模式下的,可以实现考试题库管理、多用户在线考试、自动阅卷功能的系统。

1.4参考资料 ?GB 8566 计算机软件开发规范 ?GB 8567 计算机软件产品开发文件编制指南?软件设计标准 ?《ASP与SQL-Server2000》清华大学出版社?《可行性研究报告》 ?《项目计划文档》 ? 2程序系统的结构 3程序1(标识符)设计说明

系统分析与设计

一、单选题 1、关于企业中物流与信息流的正确的提法就是: ( B ) B、信息流就是双向的 2、关于系统分析错误说法就是: ( D ) D、进行程序设计 3、开发人员获得企业领导意见的最好形式就是: ( C )C、面谈 4、以下哪种数据库语言可以实现对数据表中数据的查找等操作 B、数据操纵语言 5、以下结构中,不属于结构化语言的结构就是: ( D ) D、层次 6、实体关系图中,商品实体与顾客实体之间存在如下关系: ( C )C、多对多 7、系统规划的主要特点就是: ( D ) D、与企业发展战略相适应 8、在系统分析阶段抽象地反映信息的流动、处理、存储与使用的工具就是: A、数据流程图 9、系统分析员最基本与重要的能力就是: ( C )C、与人沟通的能力 10、在下述各项中,属于数据库最主要的特点就是: ( D ) D、数据共享 11、管理信息系统开发失败的最主要原因: ( B ) B、目标含糊 12、在数据库系统中,数据操作的最小单位就是: ( B ) B、字段 13、实体关系图中,产品实体与零件实体之间存在如下关系: ( C ) C、多对多 14、系统开发工作的目的与出发点就是: (C )C、满足用户要求 15、数据流程图的外部项的作用就是: B、系统之外提供或获得数据的组织机构或个人 16、所谓的逻辑模型就就是对信息系统的功能抽象地加以定义与描述,暂时不涉及到其实现的: ( D ) D、具体技术手段 17、在管理信息系统的开发生命周期中的哪个阶段,开发人员与用户之间的接触最多: ( A )A、系统分析阶段 18、数据流图中的哪个要素就是建立新系统的功能模块的基础: C、数据处理 19、全程参与整个信息系统生命周期过程的人员就是: ( B ) B、系统分析员 20、对于影响大、出现概率高的信息系统风险采用的主要措施就是: ( B ) B、控制 21、决策支持系统就是MIS的一个重要分支,它( C )。C、强调支持而不就是代替决策22、信息系统开发的结构化方法的一个主要原则就是: ( A )A、自顶向下原则 23、数据建模主要采用的方法就是: (C ) C、实体关系图 24、实体关系图中,医生实体与患者实体之间存在如下关系: ( C ) C、多对多

北京邮电大学数字电路实验报告

北京邮电大学 数字电路与逻辑设计实验 实验报告 实验名称:QuartusII原理图输入 法设计与实现 学院:北京邮电大学 班级: 姓名: 学号:

一.实验名称和实验任务要求 实验名称:QuartusII原理图输入法设计与实现 实验目的:⑴熟悉用QuartusII原理图输入法进行电路设计和仿真。 ⑵掌握QuartusII图形模块单元的生成与调用; ⑶熟悉实验板的使用。 实验任务要求:⑴掌握QuartusII的基础上,利用QuartusII用逻辑 门设计实现一个半加器,生成新的半加器图像模 块。 ⑵用实验内容(1)中生成的半加器模块以及逻辑门 实现一个全加器,仿真验证其功能,并能下载到实 验板上进行测试,要求用拨码开关设定输入信号, 发光二级管显示输出信号。 ⑶用3线—8线译码器(74L138)和逻辑门实现要求 的函数:CBA F+ C + =,仿真验证其 + B C B A A A B C 功能,,并能下载到实验板上进行测试,要求用拨 码开关设定输入信号,发光二级管显示输出信号。二.设计思路和过程 半加器的设计实现过程:⑴半加器的应有两个输入值,两个输出值。 a表示加数,b表示被加数,s表示半加和, co表示向高位的进位。

⑵由数字电路与逻辑设计理论知识可知 b a s ⊕=;b a co ?= 选择两个逻辑门:异或门和与门。a,b 为异 或门和与门的输入,S 为异或门的输出,C 为与门的输出。 (3)利用QuartusII 仿真实现其逻辑功能, 并生成新的半加器图形模块单元。 (4)下载到电路板,并检验是否正确。 全加器的设计实现过程:⑴全加器可以由两个半加器和一个或门构 成。全加器有三个输入值a,b,ci ,两个输 出值s,co :a 为被加数,b 为加数,ci 为低 位向高位的进位。 ⑵全加器的逻辑表达式为: c b a s ⊕⊕= b a ci b a co ?+?⊕=)( ⑶利用全加器的逻辑表达式和半加器的逻 辑功能,实现全加器。 用3线—8线译码器(74L138)和逻辑门设计实现函数 CBA A B C A B C A B C F +++= 设计实现过程:⑴利用QuartusII 选择译码器(74L138)的图形模块

系统分析与设计实验报告

鞋店进销存管理系统 一.项目背景 随着计算机技术的不断发展, 它已经成为人们工作和生活中不可缺少的工具。早在1954年,银行、大公司和大企业纷纷采用计算机进行账户和账目管理、生产管理、库存管理、销售管理、统计报表等。从数据的收集、存储、整理到检索统计,应用的范围日益扩大,使计算机的应用很快超过科学计算,成为最大的计算机应用领域。 鞋店管理的特点是信息处理量比较大,所存的鞋种类多,而且由于进货单、销售单、需求单等单据发行量特别大,关联信息多,查询和统计的方式各不相同等原因,因此在管理上实现起来有一定困难。在管理的过程中经常会出现信息的重复传递,单据报表种类繁多,各个部门管理规格不统一等问题。 在本系统的设计过程中,为了克服这些困难,满足计算机管理的需要,我们采取了下面的一些原则: 1、统一各种原始单据的格式,统一账目和报表的格式。 2、删除不必要的管理冗余,实现管理规范化、科学化。 3、程序代码标准化,软件统一化,确保软件的可维护性和实用性。 4、界面尽量简单化,做到实用,方便,尽量满足书店中不同层次员工 的需要。 二.定义 “鞋店进销存管理系统”为用户提供添加、修改、查询、退货操作等服务。用户在登陆界面输入用户名,密码后系统核对正确进入系统内部。系统就要求用户选择事务类型(添加、修改、查询、退货等),直至用户选择退出应用服务,询问用户是否退出应用服务,如果用户选择结束,系统重回登陆界面。用户进入添加界面后,首先可以输入的数字必须大于等于100),否则系统显示输入有误。用户点击确认后,由系统查询,判断该取值是否超出库存量,如果没有,则系统会显示确认界面,用户单点击“确认”后,系统自动生成账单,并在后台进行工作,系统进行清

信息系统分析与设计说明书

《信息系统分析与设计》课程设计指导书 (信管专业使用) 信息系统分析与设计是高校信息管理类和计算机应用专业开设的一门理论与实践结合紧密的核心课程。因此在学习了有关信息系统分析与设计、开发工具等理论、方法和工具之后,要在实际应用中培养学生的动手解决问题的能力。本课程设计是课程《信息系统分析与设计》的重要组成部分,是培养学生应用计算机系统管理信息的思想、意识和能力以及团队合作精神,掌握信息系统分析与设计开发过程中的重要环节、步骤、开发方法,培养学生分析、设计一个具体的信息系统的能力。 1.目的 通过了解实际企业或已有信息系统分析与设计应用的案例,使学生建立对信息系统分析与设计的感性认识;通过小型信息管理系统的分析与设计开发,使学生掌握信息系统分析与设计开发的主要步骤和各阶段文档的编写,加深对信息系统分析与设计应用软件开发的理解,提升学生解决实际问题的能力,培养学生的团队合作精神。 2.基本要求 (1)掌握系统调查方法; (2)掌握系统分析方法; (3)学会简单系统的设计; (4)训练程序设计能力; (5)学会编写系统分析说明书、系统设计说明书等。 3.主要内容 (1)调查一个实际单位或部门。如没有条件也可将此内容改为了解某个信息系统的应用案例。 (2)在调查或了解的基础上,进行系统分析。 (3)根据逻辑设计方案,进行系统设计。 (4)模拟系统实施。 (5)编写课程设计报告。 4.步骤与方法 4.1 初步调查 (1)调查方法:询问、发调查表、开会、实习、查资料等。 (2)调查内容: ①企业总貌:组织概况、企业目标、现行系统情况、简单历史、企业产品、产值、利税、体制及改革情况、人员基本情况、面临的问题、中长期计划及主要困难等。 ②企业信息需求情况:了解各职能机构所要处理的数据,估计各机构发生的数据及频度,调查内、外部环境的信息及信息源。 ③信息系统分析与设计案例学习 4.2 可行性分析 根据初步调查的情况,从技术上、经济上、管理上进行开发的可能性和必要性分析并写出分析报告。

数字秒表的设计与实现实验报告

电子科技大学《数字秒表课程设计》 姓名: xxx 学号: 学院: 指导老师:xx

摘要 EDA技术作为电子工程领域的一门新技术,极大的提高了电子系统设计的效率和可靠性。文中介绍了一种基于FPGA在ISE10.1软件下利用VHDL语言结合硬件电路来实现数字秒表的功能的设计方法。采用VHDL硬件描述语言,运用ModelSim等EDA仿真工具。该设计具有外围电路少、集成度高、可靠性强等优点。通过数码管驱动电路动态显示计时结果。给出部分模块的VHDL源程序和仿真结果,仿真结果表明该设计方案的正确,展示了VHDL语言的强大功能和优秀特性。 关键词:FPGA, VHDL, EDA, 数字秒表

目录 第一章引言 (4) 第二章设计背景 (5) 2.1 方案设计 (5) 2.2 系统总体框图 (5) 2.3 -FPGA实验板 (5) 2.4 系统功能要求 (6) 2.5 开发软件 (6) 2.5.1 ISE10.1简介 (6) 2.5.2 ModelSim简介 (6) 2.6 VHDL语言简介 (7) 第三章模块设计 (8) 3.1 分频器 (8) 3.2 计数器 (8) 3.3 数据锁存器 (9) 3.4 控制器 (9) 3.5 扫描控制电路 (10) 3.6 按键消抖电路 (11) 第四章总体设计 (12) 第五章结论 (13) 附录 (14)

第一章引言 数字集成电路作为当今信息时代的基石,不仅在信息处理、工业控制等生产领域得到普及应用,并且在人们的日常生活中也是随处可见,极大的改变了人们的生活方式。面对如此巨大的市场,要求数字集成电路的设计周期尽可能短、实验成本尽可能低,最好能在实验室直接验证设计的准确性和可行性,因而出现了现场可编程逻辑门阵列FPGA。对于芯片设计而言,FPGA的易用性不仅使得设计更加简单、快捷,并且节省了反复流片验证的巨额成本。对于某些小批量应用的场合,甚至可以直接利用FPGA实现,无需再去订制专门的数字芯片。文中着重介绍了一种基于FPGA利用VHDL硬件描述语言的数字秒表设计方法,在设计过程中使用基于VHDL的EDA工具ModelSim对各个模块仿真验证,并给出了完整的源程序和仿真结果。

操作系统课程设计实验报告

河北大学工商学院 课程设计 题目:操作系统课程设计 学部信息学部 学科门类电气信息 专业计算机 学号2011482370 姓名耿雪涛 指导教师朱亮 2013 年6月19日

主要内容 一、设计目的 通过模拟操作系统的实现,加深对操作系统工作原理理解,进一步了解操作系统的实现方法,并可练习合作完成系统的团队精神和提高程序设计能力。 二、设计思想 实现一个模拟操作系统,使用VB、VC、CB等windows环境下的程序设计语言,以借助这些语言环境来模拟硬件的一些并行工作。模拟采用多道程序设计方法的单用户操作系统,该操作系统包括进程管理、存储管理、设备管理、文件管理和用户接口四部分。 设计模板如下图: 注:本人主要涉及设备管理模块

三、设计要求 设备管理主要包括设备的分配和回收。 ⑴模拟系统中有A、B、C三种独占型设备,A设备1个,B设备2个,C设备2个。 ⑵采用死锁的预防方法来处理申请独占设备可能造成的死锁。 ⑶屏幕显示 注:屏幕显示要求包括:每个设备是否被使用,哪个进程在使用该设备,哪些进程在等待使用该设备。 设备管理模块详细设计 一、设备管理的任务 I/O设备是按照用户的请求,控制设备的各种操作,用于完成I/O 设备与内存之间的数据交换(包括设备的分配与回收,设备的驱动管理等),最终完成用户的I/O请求,并且I/O设备为用户提供了使用外部设备的接口,可以满足用户的需求。 二、设备管理函数的详细描述 1、检查设备是否可用(主要代码) public bool JudgeDevice(DeviceType type) { bool str = false; switch (type) { case DeviceType.a: {

《信息系统分析与设计》说明书

《信息系统分析与设计》说明书 §.项目开发背景 系统开发背景 随着技术的兴起与发展和技术的蓬勃发展,人们希望通过发表意见、查询数据,甚至进行网上购物,这就迫切需要实现与数据库的互连。技术发展到今天,人们已经可以把数据库技术引入到系统中。数据库技术发展比较成熟,特别适用于对大量的数据进行组织管理,技术具有较佳的信息发布途径,这两种技术的天然互补性决定相互融合是其发展的必然趋势。传统的数据库应用系统采用的是客户机服务器()模式,有高度的交互性,高效的用户界面等优点,但存在数据交互和交互规则移至客户端,代价高,维护成本高,缺少中央控制,多媒体要素不易扩展等缺点。基于瘦客户机的浏览器服务器()模式的数据库技术采用三层或多层体系结构,它通过服务器及中间件访问数据库,能够克服以上缺点。 我国不少高校都实行了学分制,它的核心是允许学生自由选课,即把学习的自主权交给学生。在这里,学生选课时的制约因素比较复杂,工作量也很大,而且往往需要在较短的时间内完成。运用计算机辅助选课,即能实时地对大量选课数据进行检验和统计,十分方便地输出选课结果,同时也避免了人工处理时容易产生的错误。 现行业务流程分析 现今,有很多的学校都是初步开始使用,甚至尚未使用计算机进行信息管理。根据调查得知,他们以前对信息管理的主要方式是基于文本、表格等纸介质的手工处理,对于选课情况的统计和查询等往往采用对课程的人工检查进行,对学生的选课权限、以及选课代号等用人工计算、手抄进行。数据信息处理工作量大,容易出错;由于数据繁多,容易丢失,且不易查找。总的来说,缺乏系统,规范的信息管理手段。尽管学校都有计算机,但是尚未用于信息管理,没有发挥它的效力,资源闲置比较突出,这就是管理信息系统的开发的基本环境。数据处理手工操作,工作量大,出错率高,出错后不易更改。学校采取手工方式对学生选课情况进行人工管理,由于信息比较多,选课信息的管理工作混乱而又复杂;一般选课情况是记录在文件上,课程的数目和代号也记录在文件中,学校的工作人员也只是当时对它比较清楚,时间长了,如再要进行查询,就得在众多的资料中翻阅、查找了,造成查询费时、费力。如要对很长时间以前的选课进行更改就更加困难了。 经过调查现学院选课流程如图一所示: 图一:选课流程图

系统分析与设计报告

系统分析与设计报告 撰写要求 实验报告撰写的基本要求是报告原则上不少于4000字,需在封面注明设计选题、班级、姓名、学号及课题设计日期、地点,其正文至少包括如下几个方面的内容: (1)企业简介和系统可行性分析 (2)系统分析部分 1)组织结构图 2)管理功能图 3)业务流程图 4)数据流程图 5)数据字典 6)数据加工处理的描述 7)管理信息系统流程设想图(新系统模型) (3)系统设计部分 1)功能结构图设计 2)新系统信息处理流程设计 3)输出设计(主要指打印输出设计) 4)存储文件格式设计(数据库结构设计) 5)输入设计(主要指数据录入卡设计) 6)代码设计(职工证号和部门代号等) 7)程序设计说明书 (4)系统实施部分(信管班需写此部分内容,非信管班不作要求) 1)程序框图 3)模拟运行数据 4)打印报表 5)系统使用说明书 (5)附录或参考资料

案例: 东方红照明有限公司 库存管理信息系统的分析、设计和实施说明:本例时间较早,开发工具选用VFP。在学习过程中,可以现有的硬件和软件环境进行系统再开发实现,学习重点放在在系统分析、系统设计实际过程、方法及内容。 这里给出一个库存管理信息系统开发的实例,目的是使大家进一步深入了解开发任何一个管理信息系统必须经历的主要过程,以及在开发过程的各个阶段上开发者应当完成的各项工作内容和应当提交的书面成果。 一、东方红照明有限公司产品库存管理系统简介 东方红照明有限公司是我国东北地区一家生产照明灯的老企业,每年工业产值在四千万元左右。该厂目前生产的产品如表l所示。 表1 某厂产品品种规格、单价及定额储备 工厂的产品仓库管理组隶属于销售科领导,由七名职工组成,主要负责产品的出入库管理、库存帐务管理和统计报表,并且应当随时向上级部门和领导提供库存查询信息。为了防止超储造成产品库存积压,同时也为了避免产品库存数量不足而影响市场需求,库存管理组还应该经常提供库存报警数据(与储备定额相比较的超储数量或不足数量)。

数字系统设计软件实验报告

实验一QuartusⅡ9.1软件的使用 一、实验目的: 1、通过实现书上的例子,掌握QUARTUSII9.1软件的使用; 2、编程实现3-8译码电路以掌握VHDL组合逻辑的设计以及QUARTUSII9.1软件的使用。 二、实验流程: 1、仔细阅读书上的操作指南,学会在QuartusⅡ9.1中创建新的工程,创建过程如下所示: 1)、建立新设计项目: ①启动QuartusⅡ9.1软件,在软件的管理器窗口选File下拉菜单,即File→New Project Wizard,则出现新建工程向导窗口。如下所示: ②点击Next按钮,将弹出新建工程设置窗口,如下图所示。在新建工程设置窗口中设置好工程的存放路径、工程名称等。

③点击Next进入添加文件窗口,如下图。由于尚未创建文件,跳过该步骤。 ④点击Next按钮,进入选择目标芯片窗口。在这里我们选择Cyclone系列的EP1C6Q240C8,如下图:

⑤点击Next按钮,进入EDA工具设置窗口,通常选择默认的“None”,表示选择QuartusⅡ自带的仿真器和综合器。如下图: ⑥点击Next按钮,弹出New Project Wizard概要对话框,在这个窗口中列出了所有前面设置的结果。若有错误则点击Back回去修改,否则点击Finish结束,即完成新工程的设定工作。如下图:

2)、文本设计输入: ①在QuartusⅡ主界面菜单栏中选择File下拉菜单中的New,弹出新建设计文件窗口,选择VHDL File项,点击OK按钮即可打开VHDL文本编辑窗口,其默认文件名为“Vhdl.vhd”。 ②出现文本编辑窗口后,我们可以直接在空白界面中键入所设计的VHDL文本。这时我们将书本中的程序输入到文本编辑环境中去。程序如下: library IEEE; use IEEE.std_logic_1164.all; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity count10 is port(clk,load,en:in std_logic; data_in:in std_logic_vector(3 downto 0); seg:out std_logic_vector(6 downto 0)); end count10; architecture beha of count10 is signal qout:std_logic_vector(3 downto 0); signal q_temp:std_logic_vector(3 downto 0); begin process(clk,load) begin

数字电路与系统设计实验报告

数字电路与系统设计实验报告 学院: 班级: 姓名:

实验一基本逻辑门电路实验 一、实验目的 1、掌握TTL与非门、与或非门和异或门输入与输出之间的逻辑关系。 2、熟悉TTL中、小规模集成电路的外型、管脚和使用方法。 二、实验设备 1、二输入四与非门74LS00 1片 2、二输入四或非门74LS02 1片 3、二输入四异或门74LS86 1片 三、实验内容 1、测试二输入四与非门74LS00一个与非门的输入和输出之间的逻辑关系。 2、测试二输入四或非门74LS02一个或非门的输入和输出之间的逻辑关系。 3、测试二输入四异或门74LS86一个异或门的输入和输出之间的逻辑关系。 四、实验方法 1、将器件的引脚7与实验台的“地(GND)”连接,将器件的引脚14与实验台的十5V连接。 2、用实验台的电平开关输出作为被测器件的输入。拨动开关,则改变器件的输入电平。 3、将被测器件的输出引脚与实验台上的电平指示灯(LED)连接。指示灯亮表示输出低电平(逻辑为0),指示灯灭表示输出高电平(逻辑为1)。 五、实验过程 1、测试74LS00逻辑关系 (1)接线图(图中K1、K2接电平开关输出端,LED0是电平指示灯) (2)真值表 2、测试74LS02逻辑关系

(1)接线图 (2)真值表 3、测试74LS86逻辑关系接线图 (1)接线图 (2)真值表 六、实验结论与体会 实验是要求实践能力的。在做实验的整个过程中,我们首先要学会独立思考,出现问题按照老师所给的步骤逐步检查,一般会检查处问题所在。实在检查不出来,可以请老师和同学帮忙。

实验二逻辑门控制电路实验 一、实验目的 1、掌握基本逻辑门的功能及验证方法。 2、掌握逻辑门多余输入端的处理方法。 3、学习分析基本的逻辑门电路的工作原理。 二、实验设备 1、基于CPLD的数字电路实验系统。 2、计算机。 三、实验内容 1、用与非门和异或门安装给定的电路。 2、检验它的真值表,说明其功能。 四、实验方法 按电路图在Quartus II上搭建电路,编译,下载到实验板上进行验证。 五、实验过程 1、用3个三输入端与非门IC芯片74LS10安装如图所示的电路。 从实验台上的时钟脉冲输出端口选择两个不同频率(约7khz和14khz)的脉冲信号分别加到X0和X1端。对应B和S端数字信号的所有可能组合,观察并画出输出端的波形,并由此得出S和B(及/B)的功能。 2、实验得真值表

系统分析与设计报告书

《系统分析与设计》课程设计

目录 1.前言 (3) 1.1 课程设计背景 (3) 1.2 课程设计目的 (3) 1.3 课程设计任务 (3) 2.课程设计分析 (3) 2.1 需求分析 (3) 2.2 功能模块图 (3) 用例图 (4) 序列图 (5) 活动图 (5) 类图 (6) 流程图 (7) 3. 数据库设计 (8) 3.1各类E-R图 (8) 3.2逻辑结构设计 (10) 4.系统实现 (12) 5.技术实现 (16) 6.总结 (17) 7.参考文献............. ............. ............. ............. ..17

网上书店管理系统报告 1.1 课题背景 随着计算机技术的发展以及计算机网络的逐渐普及,英特网成为人们查找信息的重要场所。二十一世纪是信息的时代,所以信息的交换和信息流通显的特别重要。因此网上书店的出现成为必然。 1.2 课程设计目的 随着计算机的广泛应用,其逐步成为现代化的标志。书店等,在正常运行过程中总是面对大量的客户信息,书籍信息以及两者相互作用产生的购书信息。因此需要对客户资源、书籍资源、购书信息及书籍信息进行管理,及时了解各个环节中信息的变更,要对因此而产生的单据进行及时的处理,为了书店自动化的管理,能够更快速的满足客户的要求,提高各种工作的效率,现对其设计相应的系统,以达到上述的目的。 网上书店信息管理系统的主要功能是实现书籍信息管理及购书的自动化。围绕这一主要功能,本系统涉及到以下核心功能:上传管理,修改管理,查询管理。除了这些核心功能外,还包括一些基本和辅助功能,如:商家和客户的注册、登录,客户的购书等。 1.3课程设计任务 本课程设计任务是通过开发一个网上书店信息管理系统,学习数据库系统的设计与开发,采用QT Creator和oracal等软件为开发工具。通过对计算机硬件和软件解决方案的论证,对应用领域进行调查分析,参考各种资料和进行数据库系统开发实践。在指导老师的帮助下,已经基本上成功地实现了设计任务书的要求,使得设计的数据库系统能够实现一般数据库的管理。 2.1 需求分析 网上书店信息管理系统是适应计算机时代发展的需要,提高管理的效率而开发设计的。通过对书籍信息管理,使客户能轻松购买书籍。经过综合分析,确定了网上书店信息管理系统的主要功能: (1)商家: a、商家注册功能:此模块的主要功能是用于商家注册账号; b、商家登录功能:此模块的主要功能是用于拥有商家账号的用户登陆系统对自己的书 店进行管理; c、上传书籍功能:此模块的主要功能是用于商家发布一些新书共客户查看及购买; d、书籍修改功能:此模块的主要功能是用于商家修改自己书店书籍的信息; e、书籍删除功能:此模块的主要功能是用于商家删除一些书籍信息; f、书籍查询功能:此模块的主要功能是用于商家查询自己书店的书籍; g、订单查询功能:此模块的主要功能是用于商家查询自己书店卖书的记录; (2)客户: a、商家注册功能:此模块的主要功能是用于商家注册账号; b、商家登录功能:此模块的主要功能是对拥有客户账号的用户登录; c、商品信息查询功能:此模块的主要功能是用于客户查看所有商家的书籍信息; d、书籍购买功能:此模块的主要功能用于客户购买书籍; e、订单查询功能:此模块的主要功能是用于客户查询自己的购书记录; f、可以办理VIP会员,购书折扣。 2.2 功能模块图 系统结构图是对软件系统结构的总体设计的图形显示,从系统开发的角度出发,把系统

软件设计与体系结构实验报告

福建农林大学计算机与信息学院 实验报告 课程名称:软件设计与体系结构 姓名:陈宇翔 系:软件工程系 专业:软件工程 年级:2007 学号:070481024 指导教师:王李进 职称:讲师 2009年12月16日

实验项目列表

福建农林大学计算机与信息学院实验报告 学院:计算机与信息学院专业:软件工程系年级:2007 姓名:陈宇翔 学号:070481024 课程名称:软件设计与体系结构实验时间:2009-10-28 实验室田实验室312、313计算机号024 指导教师签字:成绩: 实验1:ACME软件体系结构描述语言应用 一、实验目的 1)掌握软件体系结构描述的概念 2)掌握应用ACMESTUDIO工具描述软件体系结构的基本操作 二、实验学时 2学时。 三、实验方法 由老师提供软件体系结构图形样板供学生参考,学生在样板的指导下修改图形,在老师的指导下进行软件体系结构描述。 四、实验环境 计算机及ACMESTUDIO。 五、实验内容 利用ACME语言定义软件体系结构风格,修改ACME代码,并进行风格测试。 六、实验操作步骤 一、导入Zip文档 建立的一个Acme Project,并且命名为AcmeLab2。如下图:

接着导入ZIP文档,导入完ZIP文档后显示的如下图: 二、修改风格 在AcmeLab2项目中,打开families下的TieredFam.acme.如下图: 修改组件外观 1. 在组件类型中,双击DataNodeT; 在其右边的编辑器中,将产生预览;选择Modify 按钮,将打开外观编辑器对话框。 2. 首先改变图形:找到Basic shape section,在Stock image dropdown menu中选 择Repository类型. 3. 在Color/Line Properties section修改填充颜色为深蓝色。 4. 在颜色对话框中选择深蓝色,并单击 [OK]. 5. 修改图形的边框颜色为绿色 7. 单击Label tab,在Font Settings section, 设置字体颜色为白色,单击[OK] 产生的图形如下图:

系统分析与设计课程设计

2014-2015学年第1学期《系统分析与设计》课程设计 项目名称:南阳理工学院学生学籍管理系统 指导教师:李倩 班级:12软工移动2班 学生名单:

目录 一绪论 (1) 1系统简介 (1) 2设计目的 (1) 3设计内容 (1) 二需求分析 (1) 1. 系统目标 (1) 信息系统目标 (1) 目标说明 (1) 2 系统结构 (1) 信息系统需求结构 (1) 需求结构的说明 (1) 3 功能用例模型 (1) 4 系统性能需求 (1) 三系统分析 (1) 1 创建类图的步骤 (1) 2 系统类图 (1) 3 序列图和协作图 (1) 4 活动图 (1) 四系统设计 (1) 1 逻辑体系结构设计 (1) 2 系统数据库设计 (1) 概念模型设计 (1) 逻辑模型设计 (1) 3.系统流程图 (1) 五数据库的概念结构设计 (1) 六数据库逻辑结构设计 (1) 七输入输出设计 (1) 八系统界面设计 (1) 九总结 (1) 十小组分工 (1)

一绪论 1系统简介 学生信息管理系统是针对学校人事处的大量业务处理工作而开发的管理软件,主要用于学校学生信息管理,总体任务是实现学生信息关系的系统化、科学化、规范化和自动化,其主要任务是用计算机对学生各种信息进行日常管理,如查询、修改、增加、删除,另外还考虑到学生选课,针对这些要求设计了学生信息管理系统。 2设计目的 学生信息管理系统是高校管理信息系统的重要组成部分,开发或及时升级学生信息管理系统,是提高管理水平和工作效率的必然要求。本设计是对该学生信息管理系统的一个总体的把握,以便在后续的进一步开发过程中更好的控制总体进度,系统主要面向的对象是在校的学生。 3设计内容 本系统主要用于学校学生信息管理,总体任务是实现学生信息关系的系统化、规范化和自动化,其主要任务是用计算机对学生各种信息进行日常管理,如查询、修改、增加、删除,针对这些要求设计了学生管理信息系统。本设计主要解决与学生信息管理相关的问题,设计一个功能齐全的学生管理信息系统,实现学生档案信息的增删查改以及学生选课及课程的增删查改、学生成绩的录入和对学生成绩的分析等主要功能。

基于FPGA的现代数字系统设计实验报告

****大学 实验报告 课程名称:基于FPGA的现代数字系统设计 实验名称:基于HDL十进制计数显示系统设置 姓名: 学号: 班级: 指导教师: ****大学信息工程学院制

基于HDL十进制计数显示系统设置 一、实验要求 1.设计具有异步复位,同步使能的十进制计数器,其计数结果通过七段数码管,发光二极管等进行显示。 2.主要设计的模块有十进制计数模块和数码管驱动模块以及消抖模块。 3.需要将按键输入的时钟,然后通过消抖模块消抖后,再输出至后续使用。 4.共阴极数码管驱动。 二、实验原理 本次的设计是一个具有数显输出的十进制计数器。示意图如2.1所示。 图2.1 七段数码管属于数码管的一种,是由7段二极管组成。按发光二极管单元衔接方式分为共阳极数码管和共阴极数码管。本实验使用共阴数码管。它是指将一切发光二极管的阴极接到一同构成公共阴极(COM)的数码管。共阴数码管在应用时应将公共极COM接到地线GND上,当某一字段发光二极管的阳极为高电平相应字段就点亮,当某一字段的阳极为低电平相应字段就不亮。 显示译码器,用HDL语言判断CLK的上升沿信号,每次收到一次上升沿信号,计数器的值加一并显示在数码管上,所以本次实验会将十进制计数与七段数码管的显示分别写在两个模块里面。 系统模块划分及引脚连线图如下:

三、实验步骤(设计输入) 1.十进制计数器模块 module cnt10(clk,clr,ena,sum,cout); input clk,clr,ena; output [3:0] sum; output cout; reg [3:0] sum; reg cout; always @ (posedge clk or posedge clr) if(clr==1) begin sum <= 4'b0000; cout<= 1'b0;end else if(ena==1'b1) if(sum==4'b1001) begin sum <= 4'b0000; cout<= 1'b1; end else begin sum <= sum+1; cout<= 1'b0; end else begin sum <= sum; cout<= cout; end Endmodule 程序主要思路是用always等待clk与clr的上升沿信号,因为是异步清零所以在always 中也要等待clr的清零信号。用if语句判断clr信号,为1则清零。判断ena信号,为1进行计数操作,为0输出保持不变。计数中,判断sum的值如果为9时,进行进位操作,并将sum值赋值为0 2.数码管驱动模块 module led7 (sum ,out ); input [3:0] sum; output [6:0] out; reg [6:0] out ; always @(sum) begin out = {7{1'b0}};

相关主题
文本预览
相关文档 最新文档