当前位置:文档之家› 电子技术课程设计报告-彩灯

电子技术课程设计报告-彩灯

电子技术课程设计报告-彩灯
电子技术课程设计报告-彩灯

电子技术课程设计报告

学院:测控技术及通信工程学院

专业班级:通信09-4班

学生姓名:詹晨祎

指导教师:张凯利

完成时间:2011年7月10日

成绩:

彩灯控制电路设计报告

一. 设计要求

1、分析所给电路功能;

2、完成振荡电路及分频电路的设计;

3、连接整体电路,测试分析实验结果。

二.设计的作用、目的

1、掌握计数器,移位存储器电路的原理及应用;

2、掌握比较其后译码器电路的应用方法;

3、掌握集成芯片电路的应用方法。

三.设计的具体实现

1.系统概述

可编程彩灯电路是将传统的四个分离的时序电路移位寄存器,计数器(分频器)、555定时电路和组合电路综合为一个完整的设计型的时序、组合电路。实验总体框图如下:

这个电路可以实现的功能是驱动发光二极管显示电路的各路发光二极管,让它按照一定的规律点亮,从而实现彩灯的功能。

总电路图为:

2.单元电路设计(或仿真)与分析

彩灯的循环主要通过对移位寄存器74LS194的控制来实现。移位寄存器需要有时钟输入,该时钟由NE555产生的震荡电路经过计数器74LS161的分频来实现。

可编程体现在:可以通过编码电路编入不同的码,使得移位的循环位数不同。

电路的基本功能为8灯—1灯的逐个点亮。该循环实现的核心是重新置位。例如,要实现五灯循环,则送数时将0电平信号送到3(通过编码译码实现),从第三个灯开始点亮,0电平移位置第八个灯时,重新置位,此时0电平信号又送到3,重新开始移位,从而实现五灯循环。

我们设计的拓展功能为8灯可逆循环,这个功能通过用D触发器74LS74对时钟信号进行16分频来实现。通过开关可以实现基本功能与拓展功能之间的切换。

(1)振荡电路的选用:

74LS14反相器接电阻点用形成振荡,也可选用多谐振荡器来震荡

(2)分频器的选用:

分频器可以用触发器74LS74或者用计数器。由于一个D触发器只能实现2分频,用一个74芯片(四个触发器)实现16分频的连线比较复杂。为减少导线数量,我们采用计数器74LS161。

但在实现扩展功能时,自动控制信号的翻转要与时钟在那一刻的翻转同步。74芯片为上升沿触发,而161为下降沿触发。我们采用74LS74实现对时钟的16分频。

(3)移位寄存器的选用:

选用74LS138来实现功能

计数器分频电路

74LS161管脚图

74LS161功能表

74LS161可以实现很多功能:

1、异步清零当引线RD为低电平时,计数器的输出端全部被复位为0,计数器的输出呈现“0000”状态,RD为异步复位端,它与其它输入信号均无关。

2、同步预置:引线LD在不同电平下引入不同的工作方式MI,M2。当LD为低电平时,处于工作方式M1,即置数的工作方式,当时钟有效时在数据输入端A,B,C,D输入外加的数据到触发器输出端,此时输出端反映输入数据的状态。

3、计数:当LD=1时,计数器出于工作方式M2的状态,ET,EP为两个关联与控制端。当LD=1且ET=1,EP=1时计数器执行加1功能,此时计数脉冲由CP 送入,电路状态按自然二进制码转换,QD为最高位,QA为最地位,当CP脉冲出现上升沿时,触发器反转,当ET有效且出于计数“1111”时,输出端CO引线输出高电平。

4、保持功能:当RD=LD=1时,只要ET,EP中有一个为零时,无论CP段有无技术脉冲,各触发器均出于保持状态。

74ls138引脚图

74HC138管脚图:74LS138为3 线-8 线译码器,共有 54/74S138和 54/74LS138两种线路结构型式,其工作原理如下:

当一个选通端(G1)为高电平,另两个选通端(/(G2A)和/(G2B))为

低电平时,可将地址端(A、B、C)的二进制编码在一个对应的输出端以低

电平译出,利用 G1、/(G2A)和/(G2B)可级联扩展成 24 线译码器;若外接一个反,相器还可级联扩展成 32 线译码器。若将选通端中的一个作为数据输入端时,74LS138还可作数据分配器

3线-8线译码器74LS138的功能表

Multisim仿真电路:

3.电路的安装与调试

基本功能:通过编程实现一灯常亮、两灯——八灯循环。

拓展功能:八灯可逆循环,通过编程可调节方向变化的点。

调试过程:第一次测试时,基本功能无法实现,所有灯都不亮,检查发现少焊了一部分线,寄存器根本没有信号输入。解决方案:将缺少的线连上。

第二次测试,只有前四个灯可以实现循环,后四个灯无法正常工作。经检查发现,第二块138的一个管脚歪了没有插进去。解决方案:调整好管脚重新插入。

第三次测试,基本功能已经实现。但是扩展功能无法实现,循环依然是单向的。经检查发现,14芯片的连接有误,使能端电平接反了导致触发器没有工作。解决方案:重新连接74LS14.

第四次测试:功能全部实现。

八.设计过程的体会与创新点:

这个实验融入了更多的智力因素,需要自己设计并选择方案。因此设计电路耗费了很多时间。而且开始因为对老师的要求不是很了解,走了一段弯路,又临时更改了方案。这是一个教训,因此在焊第三块板子的时候千万要注意老师的求。

由于不同颜色的LED灯要串接的电阻不同。因此我们先通过计算在实验箱上仿真,确定串接的阻值,保证三种颜色的灯亮度相近。

由于方案的临时更改,我们只好一边焊一边继续设计电路。焊震荡分频和编码译码的时候,还在设计寄存器部分的控制电路,从而节省时间。

调试的过程也是一波三折,最开始没有灯亮的时候特别的揪心。但是总结上大学以来做实验的经验:出了问题千万要冷静。只要原理很清楚,冷静就有调试和解决问题的办法。因此我们通过用万用表测电平的方法排查问题并一一解决。当最后所有功能都出来的时候,非常有成就感。

创新点:

拓展功能,通过将时钟分频实现自动控制。

四.心得体会、存在问题和进一步的改进意见等

在仿真实验过程中有很多器件不知道在哪里能找到,所以要学会运用搜索功能在,按照老师的仿真器件名称去搜索会省去很多时间,在电路连接过程中有些问题是意想不到的,比如说连接线的内部断路,插口没有插紧,LED灯接反,总之需要细心检查,分级连接才可以保证电路顺利亮灯。

五.附录

六.参考文献

参考文献的格式如下:

序号·作者名·书刊名·出版杜·出版时间(刊号)·(小四号宋体)

1 闫石数字电子技术基础高等教育出版社 2010

2 童诗白模拟电子技术基础高等教育出版社 2010

附录1:

74LS14芯片

逻辑图:

功能表:

74LS138

用与非门组成的3线-8线译码器74LS138

功能表

74LS161

彩灯控制器课程设计汇本报告

数字电子技术课程设计报告 专业名称: 班级: 姓名: 学号: 日期:

目录 一、设计要求 (1) 二、设计的作用、目的 (1) 三、设计的具体实现 (1) 1、系统概述 (1) 2、单元电路设计、分析与仿真 (2) 3、电路的安装与调试 (6) 四、心得体会及建议 (7) 五、附录 (7) 六、参考文献 (8)

设计报告 一、设计要求 说明:1.以十个指示灯作为显示器件,能自动的从左到右、从右到左的依次被点亮,如此周而复始,不断循环。 2.打开电源时可自动清零,每个指示灯被点亮的时间约为0.5S—2S 围。 3.用计算机画出电路设计图,进行仿真分析验证其正确性。 4.写设计说明书一份。 二、设计的作用、目的 1、为了培养学生运用相关课程的基础理论和技能解决实际问题,并进一步提高学生抓也基本技能、创新能力的重要实践教学环节。 2、培养学生正确的设计思想与方法、严谨的科学态度和良好的工作作风。 3、通过课程设计,学生受到设计与写作方法的初步训练,能用文字、图形和现代设计写作方法系统地、正确地表达课程设计。 4、巩固、深化和扩展学生的理论知识与初步的专业技能。 5、熟悉各种芯片的功能和应用。 6、完成彩灯控制器的设计,绘出电路原理图。 7、调试电路,是否能正常工作。 理工大学课程设计:彩灯控制器 三、设计的具体实现 1)系统概述

此电路主要由三部分组成,其整体框图如图(一)所示。 振荡电路计数译码驱动电路显示电路 图(一) 2)方案论证与实现 (1)振荡电路 主要用来产生时间基准信号(脉冲信号)。因为循环彩灯对频率的要求不高,只要能产生高低电平就可以了,且脉冲信号的频率可调,所以采用555定时器组成的振荡器,其输出的脉冲作为下一级的时钟信号,电路如图二所示。 图(二) (2)计数器/译码分配器 计数器是用来累计和寄存输入脉冲个数的时序逻辑部件。在此电路中采用十进制计数/分频器4017,它是一种用途非常广泛的电路。其部由计数器及译码器两部分组成,由译码输出实现对脉冲信号的分配,整个输出时序就是O0、O1、O2….O9依次出现与时钟同步的高电平,宽度等于时钟周期。

小彩灯控制电路设计

实验5 彩灯控制电路 一、实验目的 1. 掌握彩灯控制电路的设计和实现; 2.综合运用所学器件进行简单电路的设计; 3.熟练掌握74LS00、74LS86、74LS90、74LS138的综合应用。 二、实验设备 1、函数信号发生器 2、数字双踪示波器 3、集成电路:74LS00 4、集成电路:74LS86 5、集成电路:74LS90 6、集成电路:74LS138 7、发光二级管、电阻、开关等 三、实验内容 1、彩灯控制电路要求控制4个彩灯; 2、两个控制信号:S1S0= 00 灯全灭; S1S0=01 右移,循环显示; S1S0=10 左移,循环显示; S1S0=11 灯全亮。 四、实验结果 1.彩灯控制电路简单的系统框图介绍: ↓ ↓

↓ 2.详细设计思路: S1S0每个状态下4个彩灯有四种状态变化,用74LS90产生脉冲,按照四进制接法,接入74LS138作为74LS138芯片的驱动信号,然后进行译码操作,从而实现彩灯的控制电路的设计,下面列出该实验的真值表 其中:QA、QB为74LS90的输出端,G1为74LS138的控制端,A、B、C为输入端,Y0-Y7为输出端,X0、X1、X2、X3为四个彩灯的状态显示,0表示灭,1表示亮。 3.彩灯控制电路逻辑真值表: 彩灯控制电路的真值表

4.由此可以得到相应的逻辑关系如下: C=S1 B=QA A=QB G1=S1⊕S0 X0=Y0+Y4+S1S0 X1=Y1+Y7+S1S0 X2=Y2+Y6+S1S0 X3=Y3+Y5+S1S0 5.实验仿真电路图如下所示: (1)其中函数信号发生器设置为方波,1Hz; (2)开关S1中上面为S1,下面为S0,左拨为0,右拨为1; (3)四个彩灯使用红色发光二极管显示,从左到右的循环等价于图中从上到下的循环,从右到左的循环等价于图中从下到上的循环显示,另外每个二极管各添加了一个500欧的电阻来限制电流,防止二极管烧坏。

单片机设计节日彩灯控制器课程设计报告

单片机课程设计报告

用单片机设计节日彩灯控制器 一、设计任务与要求 本实验中采用汇编语言和89C51单片机实现几日彩灯控制器,在Keil uVision软件环境中编辑和调试节日彩灯程序,并在Proteus软件环境下仿真节日彩灯的硬件电路。可编程节日彩灯系统采用8个LED灯来模拟彩灯的显示,设计要求8个LED灯能以多种不同的方式变换的亮灭,以达到节日彩灯的效果。 本实验中彩灯具体的变换方式采用以下四种方式: 方式一:启动彩灯运行,按下与89C51单片机P1.2相连接的按钮,则彩灯按照由上而下的顺序依次点亮。 方式二:停止彩灯运行,按下与89C51单片机P1.3相连接的按钮,则彩灯全部熄灭。 方式三:向下依次点亮彩灯,按下与89C51单片机P1.4相连接的按钮,则彩灯按照由上向下的顺序依次点亮。 方式四:向上依次点亮彩灯,按下与89C51单片机P1.5相连接的按钮,则彩灯按照由下向上的顺序依次点亮。 二、设计方案 以80C51单片机为控制核心,采用模块化的设计方案,运用LED彩灯、按键等组成电路,实现彩灯在开启时满足不一样的闪亮方法。按键可以在彩灯使用的时候选择不同的亮法,使彩灯流动的方向改变,键一可以使彩灯由上而下开始流动,键二可以使彩灯停止,三号键可以使彩灯由上而下流动,四键则可以使彩灯由下而上流动。通过按键能方便使用者选择节日彩灯的开启、流动方向和停止。系统框图如下: 三、单片机设计节日彩灯控制器的硬件电路设计

单片机设计过程中用到的管脚说明: 1、VCC(40):供电电压。 2、GND(20):接地。 3、P1端口(P1.0-P1.7):P1口是一个内部提供上拉电阻的8位双向I/O口, P1口缓冲器能接收输出4TTL门电流。P1口管脚写入1后,被内部上拉为高电平,可用作输入,P1口被外部下拉为低电平时,将输出电流,这是由于内部上拉的缘故。在FLASH编程和校验时,P1口作为第八位地址接收。 4、P2端口(P2.0-P2.7):P2口为一个内部上拉电阻的8位双向I/O口,P2口 缓冲器可接收,输出4个TTL门电流,当P2口被写“1”时,其管脚被内部上拉电阻拉高,且作为输入。并因此作为输入时,P2口的管脚被外部拉低,将输出电流。这是由于内部上拉的缘故。P2口当用于外部程序存储器或16位地址外部数据存储器进行存取时,P2口输出地址的高八位。在给出地址“1” 时,它利用内部上拉优势,当对外部八位地址数据存储器进行读写时,P2口输出其特殊功能寄存器的内容。P2口在FLASH编程和校验时接收高八位地址信号和控制信号。 5、P3端口(P3.0-P3.7):P3口管脚是一个带有内部上拉电阻的8位的双向I/O 端口,可接收输出4个TTL门电流。当P3口写入“1”后,它们被内部上拉为高电平,并用作输入。作为输入端时,由于外部下拉为低电平,P3口将输出电流(ILL)。P3口也可作为AT89C51的一些特殊功能口,其中P3.3可作为外部中断1输入。 6、XTAL1(19):反向振荡放大器的输入及内部时钟工作电路的输入。 7、XTAL2(18):来自反向振荡器的输出。 8、EA(31):外部程序存储器访问允许控制端。 打开PROTEUS的ISIS编辑环境,从PROTEUS中选取该电路所需要的元器件,放置元器件、放置电源和地、连线得到下图所示的电路原理图,再点菜单栏工具下拉的电气规则检查,当规则检查出现:“NETLIST GENERATED OK NO ERC ERRORD FOUND”,表示通过检查。电路设计完成。

彩灯控制器的设计实验报告

专业班级 院系物理与电子信息学院 姓名学号同组人 实验室组号日期 成绩 课程单片机原理与应用指导老师肖鹏程 试验项目编号 试验项目名称多功能彩灯控制器的设计一、实验目的 1.熟悉Keil uVision2软件的安装和应用; 2.熟悉Proteus7.8软件的安装和应用; 3.掌握《单片机多功能彩灯控制器的设计》的编程、仿真和调试方法。 二、实验环境 1.微机一台; 2.Proteus7.8电路设计和仿真软件; 3.Keil uVision2编译和调试软件; 三、实验原理 图1是单片机多功能彩灯控制器的电路原理图,P2接8只LED,限流电阻为220Ω,时钟电路接在单片机的DIP18、DIP19,复位电路接在单片机的DIP9, DIP31接Vcc。 要求用C语言编写程序,使该电路的功能为,上电后8只LED的显示状态为:【○○○○○○○●】→【○○○○○○●○】→【○○○○○●○○】→【○○○○●○○○】→【○○○●○○○○】→【○○●○○○○○】→【○●○○○○○○】→【●○○○○○○○】→【○○○○○○○○】, 时间间隔为300mS,循环往复。

图1单片机输出控制电路原理图 多功能彩灯控制器的参考程序如下: #include unsigned char code table001[]={128,64,32,16,8,4,2,1,0}; void delay300ms(); main() { unsigned char m; while(1)

{ for(m=0;m<=8;m++) { P2=~table001[m]; delay300ms(); } } } void delay300ms() { unsigned char i,j,k; for(i=3;i>0;i--) for(j=200;j>0;j--) for(k=248;k>0;k--); } 四、实验步骤 1.安装Keil uVision2; 2.安装Proteus 7.8; 3.在Proteus ISIS环境下设计一个用单片机的I/O口(P2)作为Output控制8只LED的电路; 4.在Keil uVision2环境下,用C语言编写多功能彩灯控制器的程序,编译生成hex文件。当出现错误时,使用Debug调试和修改程序,直到生成hex文件。5.将生成的hex文件加载到单片机,运行仿真,观察现象,记录结果; 五、实验记录与处理 1. 多功能彩灯控制器的实验结果(仿真)如下: 时间LED工作状态时间LED工作状态 0 0

彩灯课程设计报告材料

《8路彩灯控制电路》 课程设计报告 专业:计算机科学与技术 班级:一班 姓名:XX 学号: 6 同组成员: 指导教师:伟 2015年 6 月23 日

目录 一、课程设计目的 (2) 二、课程设计题目描述和要求 (2) 三、课程设计报告容 (2) 四、总结,设计体会 (9)

一、课程设计目的 1.了解数字电路设计的基本思想和方法 2.进一步掌握数字电路课程所学的理论知识 3.熟悉集中常用集成数字芯片,掌握其工作原理 二、课程设计题目描述和要求 实现彩灯控制要求设计的彩灯路数较少,且花型比较简单,因此采用74LS194移位寄存器和74LS161四进制同步加法计数器以及简单的逻辑器件来控制彩灯电路。 (一)彩灯控制器设计要求 设计制作八路彩灯控制电路,用以控制八个LED彩灯按照不同的花色闪烁,要求如下: 1.接通电源,电路开始工作,LED灯按预设花型闪烁 2.LED灯按照事先设计的方式工作 (二)课程设计总体要求 1.根据设计任务,每组成员共同完成一份设计电路图 2.根据设计的电路图,两人一组利用万能板完成电路焊接,并调试成功 三、课程设计报告容 (一)原理分析 1.电路主要分为三个部分: 第一板块:实现脉冲时钟信号的产生; 第二板块实现花型的控制及节拍控制; 第三板块实现花型的演示。 2.根据实验所提供的器材,我的设计思路如下: ○!时钟信号由一个单脉冲提供; ○2花型控制电路由两片74LS161 四位二进制同步加法计数器和两个非门共同完成; ○3花型演示电路由两片74LS194移位寄存器完成,可以左移右移完成花型变

化。 3.花型控制电路设计: 花型1:8路彩灯分为上下两部分,每部分从下向上依次亮,然后从下向上依次灭,循环两次。 花型2:从两边到中间依次对称地渐亮,全亮后仍由两边到中间逐次灭,循环两次。 花型3:从中间到两边依次对称地渐亮,全亮后仍由中间到两边逐次灭,循环两次。 花型4:8路点灯分为上下两部分,每部分从上向下依次亮,然后从上向下依次灭,循环两次。 4.将两片74LS194分为低位片和高位片,再将其输出端从低位到高位记为L1~L8,所得四种花型的L1~L8状态值变化情况如下表: 四种花型每种显示两边,1~16显示第一个花型,17~32显示第二个花型,33~48显示第三个花型。 5.要用194芯片实现四个花型的连续显示必须对两片194的S1和S0,SL和SR 的每一节拍变化进行相应的改变。两片161的输出端从Q0~Q7根据变化的花型的频率选用高位片的Q4和Q5分别去控制194的S1和S0。它们的SR和SL则有低位161片的Q2经过非门来控制,这样就可以实现对花型变化周期的控制。各花型和其对应的194的S1、S0、SR、SL的输出信号及节拍控制信号列表如下:

8个彩灯控制电路设计

课程设计(论文) 题目名称8个彩灯控制电路设计 课程名称单片机原理及接口技术 学生姓名何辉 学号0941201058 系、专业电气工程系测控类 指导教师杨波 2011年6 月25 日

邵阳学院课程设计(论文)任务书 年级专 业 09级电气工程系学生姓名何辉学号0941201058 题目名称8个彩灯控制电路设计计时间2011年6月7日—2011 年7月3日 课程名称单片机原理及在 电气测控学科中 的应用 课程编号121200105 设计地点 数字控制与PLC实验 室\创新实验室 (214)(305) 一、课程设计(论文)目的 通过课程设计,进一步熟悉和掌握AT89S51单片机的结构及工作原理,掌握以单片机核心的电路设计的基本方法和技术,了解表关电路参数的计算方法。通过完成一个包括电路设计和程序开发的完整过程,使学生了解开发一单片机应用系统的全过程,通过此综合训练,为以后毕业设计打下一定的基础。为今后从事相应打下基础。 二、已知技术参数和条件 1、系统电路的构成用AT89S51单片机和中规模集成芯片,及电子元件。 2、WA VE 软件或KEIL 软件编译 三、任务和要求 任务: 用AT89S51单片机设计设计一个8个彩灯控制电路。 要求: 1 从左到右排列,编号为1~8号。系统启动后,灯管点亮的顺序依次为:1号→2 号→3号→...→7号→8 号,时间间隔为1S。8根彩灯全亮后,持续10S。然后按照8号→7号→6号→...→2号→1号的顺序依次熄灭,时间间隔为1S。灯管全部熄灭后,等待2S,再从8号灯管开始,按照8号→7号→6号→...→2号→1号的顺序依次点亮,时间间隔为1S。全部点亮后持续20S,再按照1号→2号→3号→...→7号→8号的顺 序熄灭,时间间隔仍为1S。灯管全部熄灭后,等待2S,再重新开始上述过程的循环。 2、用proteus仿真 注:1.此表由指导教师填写,经系、教研室审批,指导教师、学生签字后生效; 2.此表1式3份,学生、指导教师、教研室各1份。

单片机节日彩灯课程设计

课程设计任务书 注:1.课程设计完成后,学生提交的归档文件应按照:封面—任务书—说明书—图纸的顺序进行装订上交(大张图纸不必装订) 2.可根据实际内容需要续表,但应保持原格式不变。 指导教师签名: 日期: 目 录 专业班级 电传12-2 学生姓名 崔玉君 课程名称 单片机原理与接口技术 设计名称 节日彩灯 控制器 设计周数 2 指导教师 张军朝 设计 任务 主要 设计 参数 1.以单片机为核心,设计一个节日彩灯控制器。 2.P0.0~P0.7接8只红色LED ,P2.0~P2.7接8只绿色LED ,P 3.0~P3.7接8只黄色LED 。 3. P1.2—开始,按此键则彩灯开始流动(依次点亮)。 P1.3—停止,按此键则停止彩灯流动,所有灯为暗。 P1.4—P1.7彩灯不同的显示方式,按下相应的按键彩灯则按照不同的显 示方式显示,渲染节日气氛。 设计内容 设计要求 1.采用AT89S51单片机作为主控芯片及外围控制芯片,设计节日彩灯控 制器的硬件电路。 2.采用AT89S51单片机汇编语言(C 语言),完成节日彩灯控制器的软件 编程。 3.由按键控制流水灯,LED 采取共阳极接法,通过依次向连接LED 的I/ O口送出低电平,实现题目要求的功能。 4. 采用Proteus 仿真软件完成节日彩灯控制器的硬件设计、软件编程及系 统调试。 主要参考 资 料 1.贾好来.单片机嵌入式系统原理及应用.机械工业出版社,2013.4 2.徐爱钧.单片机原理实用教程基于PROTEUS 虚拟仿真.电子工业出版社, 2009.1 学生提交 归档文件 1.设计说明书一本,包括硬件电路图和软件程序(电子版) 2.采用屏幕录像软件,录制十字路口交通灯控制器运行情况,以MP3的 形式提交。

8路LED彩灯控制电路设计报告

《8路LED彩灯控制》课程设计报告 专业: 班级: 姓名: 学号: 同组成员: 指导教师: 2011年 1 月8 日

目录 一、课程设计目的 (3) 二、课程设计题目描述和要求 (3) 三、课程设计报告内容 (3) 3.1 器件选择 (3) 3.2 实验电路图 (3) 3.3 原理分析 (4) 3.3.1 脉冲源产生模块 (4) 3.3.2 定时器模块 (4) 3.3.3 寄存器模块 (4) 3.4 具体思路和设计过程 (4) 3.4.1 设计思路 (4) 3.4.2 具体设计过程 (5) 四、焊接与调试 (6) 五、总结体会 (6)

一、课程设计目的 随着社会的发展,人民生活水平不断提高,现代人们越来越注重节能和装饰,因此LED作为一种超节能产品在实际生活中得到广泛应用,它不仅工作电压低,而且使用寿命很长,所以它受到大多数灯光装饰师的青睐。本设计用8路led设计出的彩灯控制电路具有:低能耗、花色多、性能忧、实用美观等特点,实为家居装饰之首选。而具体的课程设计(包括电路图设计、电路板焊接、安装与调试),既可以提高我们的动手能力和实际分析问题能力,还有助于我们加深对数字电路的认识和了解,进一步激发学习的兴趣,为后续学习打下坚实基础。 二、课程设计题目描述和要求 本设计中的8路LED彩灯控制电路,由555定时器、移位寄存器、计数器等器件构成,主要功能是实现三种花色的交错变换,8路led灯的亮和灭组合起来形成有规律的花色,给人以美感,适用于彩灯装饰。 三.课程设计报告内容 3.1 器件选择 555定时器一片、十六进制计数器74ls191两片、双向移位寄存器74ls194两片、0.01uf的电容和2.2uf的电容各一个、47k电阻两个、非门74ls04一个、与非门 74ls20六个、导线若干。 3.2 实验电路图

彩灯双向循环电子技术课程设计实验报告

安徽农业大学经济技术学院 电子技术课程设计报告书 课题名称一种多种波形发生器设计 姓名汪亦嘉 学号150103233 院、系、部机械工程系 专业机械设计制造及其自动化 指导教师李琰 2017年 6月 13 日

一、设计任务及要求: 1、用中规模计数器设计双向流动彩灯控制器。 2、要求彩灯双向流动点亮,其闪烁频率在1~10Hz内可调。 3、要求用555定时器设计时钟脉冲,五路彩灯采用五个发光二极管代替。设计要求 4、双向流动彩灯控制器的直流稳压电源要求自行设计。 5、在选择器件时,应考虑成本。 6、根据技术指标,通过分析计算确定电路和元器件参数。 7、画出电路原理图(元器件标准化,电路图规范化)。 指导教师签名: 2017年6月日二、指导教师评语: 指导教师签名: 2017年6月日

三、成绩 指导教师签名: 2017年6月日

一、设计目的 1、对模拟电子技术的的直流电源中的整流、滤波、稳压等环节加深印象。 2、了解直流电源各部分的结构。 3、加深对于555定时器的内部结构的理解。 4、将74LS161改为十六进制以内的任一进制计数器。 5、Mulsitim 电路仿真软件应用灵活。 二、方案论证 设计一个双向彩灯控制器,控制五路彩灯。 方案一:以555定时器为基础连接成多谐振荡器产生周期在1~10Hz 矩形时钟脉冲,用以启动74LS161计数器。并用74LS161构成八进制加法计数器通过74LS138译码器输出给五个彩灯,实现双向循环。方案一原理框图如图1所示。 图1 双向彩灯控制器方案一的原理框图 方案二:与方案一的第一部分原理相同同样采用555定时器构成多谐振荡器,用来产生1~10Hz 的周期矩形时钟脉冲,启动计数器。第二部分采用四进制加法计数器和四进制减法计数器结合实现循环,第三部分同样采用74LS138译码器输出给五路彩灯,实现循环。方案二原理框图如图2所示。 图2 双向彩灯控制器方案二的原理框图 最终本设计采用的是方案一,只采用一个八进制加法计数器,循环简单方便,仅需一次循环就可实现五路彩灯双向流动。在考虑成本的条件下,节省器件。所以方案一更加合适。 多谐振荡器 八进制加法计数器 译码器 彩灯 多谐振荡器 四进制加法计数器 四进制减法计数器 译码器 彩灯

单片机课程设计报告---节日彩灯

《单片机原理及应用课程设计》报告——节日彩灯设计 专业:通信工程 班级: 姓名: 学号: 2014年5月

一.课程设计目的 1.巩固和加深对单片机原理和接口技术知识的理解; 2.培养根据课题需要选学参考书籍、查阅手册和文献资料的能力; 3.学会方案论证的比较方法,拓宽知识,初步掌握工程设计的基本方法; 4.掌握常用仪器、仪表的正确使用方法,学会软、硬件的设计和调试方法; 5.能按课程设计的要求编写课程设计报告,能正确反映设计和实验成果,能用计算机绘制电路图和流程图。 二.课程设计要求 以单片机为核心,设计一个节日彩灯控制器: (1) P1.2—开始,按此键则灯开始流动(由上而下); (2) P1.3—停止,按此键则停止流动,所有灯为暗; (3) P1.4—上,按此键则灯由上向下流动。 (4) P1.5—下,按此键则灯由下向上流动。 三. 硬件设计 3.1 设计思想 在设计中用到单片机最小系统:复位电路以及时钟(晶振)电路、程序烧写电路以及各种颜色的彩灯。 复位电路:复位是单片机的初始化操作,目的是使CPU以及各个寄存器处于一个确定的初始状态。分为手动复位方式和上电自动方式。 时钟电路:51单片机的时钟信号可由芯片内部的震荡电路产生,也可以由外部产生。 3.2主要元器件介绍 四. 软件设计 4.1 设计思想 由按键控制功能的流水灯,LED工作的方式通过键盘的扫描实现。其中的LED

采取共阳极接法,通过依次向连接LED的I/O口送出低电平,可实现题目要求的功能。 4.2软件流程图 图1-1 程序流程图 4.3 源程序 ORG 0000H AJMP START ORG 0030H START: MOV P0,#0FFH

六路彩灯循环控制器数电课程设计

一.设计目的及要求 1.1 课程设计的目的 1 、巩固和加强《数字电子技术》课程的理论知识。 2 、掌握电子电路的一般设计方法,了解电子产品研制开发过程。 3 、掌握电子电路安装和调试的方法及其故障排除方法,学会用ewb 软件或multisim 软件对电路仿真。 4 、通过查阅手册和文献资料,培养独立分析问题和解决问题的能力。 5、培养创新能力和创新思维。 1.2 要求 用中规模集成电路实现6 路彩灯控制电路,主要用计数器、译码器、移位寄存器等芯片集成,实现以下5 种演示花型: 花型1:6 路彩灯同时亮; 花型2:6 路彩灯同时灭; 花型3:6 路彩灯从左至右逐路点亮; 花型4:6 路彩灯左侧三个全亮,同时右侧三个全灭; 花型5; 6 路彩灯右侧三个全亮,同时左侧三个全灭; 要求彩灯亮、灭一次的时间可调,花型转换的顺序为:花型1、花型2、花型3 、花型4,花型5 、花型1 电路有复位控制,复位按钮闭合时彩灯循环输出,按钮断开彩灯熄灭。 二、设计方案的选择和电路框图

2.1题目分析 我们设计的流水灯实际上是主要使用一个555定时器、一个 74LS160,—个74LS42和两个74HC194这四个芯片对,6个彩灯进行控制,产生循环控制的效果。 2.2 题目设计 花型1,111111 ;花型2,000000 ;花型3,100000 ——010000 ——001000——000100——000010——000001;花型4,111000 ;花型5,000111。用74HC194移位寄存器来实现。用74LS42译码器来实现对194的控制,实现194的清零,并行输入,以及右移。用 74LS160十进制计数器控制42译码器的输出,555定时器根据滑动电阻的调节来实现输出时钟脉冲周期的不同从而控制160 计数的快慢,也就实现了彩灯闪烁时间的可调。 2.3结构框图

单片机节日彩灯控制器课程设计报告..

单片机原理及接口技术 课程设计报告 单片机节日彩灯控制器设计彩灯控制器 姓 名: 学 号: 指导教师: 学 院: 机电工程学院 专 业: 机械设计制造其自动化 完成日期: 2014年6月27日

目录 第1章.绪论---------------------------------------------------- 1 1.1课题的国内外现状----------------------------------------- 1 1.2课题的发展趋势------------------------------------------- 1 1.3课题研究的主要内容------------------------------------- 1 第2章.节日彩灯控制器的设计-------------------------------------- 3 2.1设计目的 ------------------------------------------------- 3 2.2设计要求 ------------------------------------------------ 3 2.3总体方案设计与选择的论证 -------------------------------- 3 2.4核心芯片及主要功能介绍 ---------------------------------- 4 2.4.1 AT89S52芯片---------------------------------------- 4 2.4.2 74HC377芯片 --------------------------------------- 7 2.4.3 74HC138芯片 --------------------------------------- 7 2.5硬件设计 -------------------------------------------- 8 2.5.1 直流电源电路 ---------------------------------------- 8 2.5.2 按键电路 ------------------------------------------- 9 2.5.3 时钟复位电路 --------------------------------------- 9 2.5.4 LED显示电路---------------------------------------- 10 2.5.5 硬件调试 --------------------------------------------- 10 2.6软件设计------------------------------------------------- 10 第3章.总结----------------------------------------------------- 15 3.1 实验方案设计的可行性、有效性----------------------------- 15 3.2 设计内容的实用性----------------------------------------- 15 3.3 实习心得------------------------------------------------ 15 附录一:总体电路图---------------------------------------------- 19附录二:元器件清单----------------------------------------------20参考文献--------------------------------------------------------21致谢------------------------------------------------------------21

声控音乐彩灯课程设计报告

设计题目:声控音乐彩灯 专业电气工程学院 班级 1008班 学号 10291246 学生姓名乔茜 指导教师叶晶晶 提交时间2012年6月29日

目录 一、概述 (2) 1.1目的 (2) 1.2课程设计的组成部分 (2) 二、总结 (5) 3.1课程设计进行过程及步骤 (5) 3.2所遇到的问题,你是怎样解决这些问题的........ 错误!未定义书签。 3.3体会收获及建议 (7)

一、概述 1.1目的 我们都知道,人发出的声音信号中的电压信号是及其微弱的,只是在毫伏级别,而其频率信号一般在几十到几百赫兹,我们希望用声音信号来控制信号灯闪烁的频率,从而可以让大家直观得看到自己说话声音“音符”,如果加上音乐,那么彩灯便会随着音乐的跳动而闪烁出不同的频率。为了实现上述功能,我们首先要将微弱的声音信号放大,然后将声音信号进行降频,降到可以被肉眼分辨出的频率。因此,此电路可以分为三部分:一、电压信号两级放大部分二、频率信号降频部分三、彩灯显示部分 1.2课程设计的组成部分 一:电压信号两级放大部分 这部分我们先用一个电容滤去直流部分,然后通过一个三极管将电压进行第一级放大,再次电容滤去直波,再通过一个三极管放大。经过两次放大后,电压被放大了100倍,这样就将毫伏级别的电压放大到了级别为伏的电压信号,为下一步降频做准备。 以下是第一部分的仿真:

二:频率信号降频部分 电路主要是四个集成芯片CD4017构成。CD4017是十进制计数器/分频器,其内部由计数器及分频器两部分组成,由译码输出现实对脉冲的分配,整个输出时序就是Q0、Q1、Q2、Q3···、Q9依次出现与时钟同步的高电平,宽电平等于时钟周期。 CD4017有3个输入端(MR、CP0和CP1),MR为清零端,当在MR端上加高电平或正脉冲时,其输出Q0为高电平,其余输出端(Q1~Q9)均为地电平.CP0和CP1是2个时钟输入端,若要用上升沿来计数,则信号有CP0端输入,若要下降沿来计数,则信号由CP1端输入。 CD4017有10个输出端(Q0~Q9)和1个进位输出端CO。每输入10个计数脉冲,C0就可得到1个进位正脉冲,该进位输出信号可作为下一级的时钟信号,输出端依此变为高电平。 在C1中将11与15相接,即输入端14每输入9个信号时发生一次清零,达到降频的目的。C2中将C1送来的信号分配开,并分在10个端子上得到顺序正脉冲,以达到降频、分频的目的。 第一个芯片进行的是第一次分频,接下来的三个芯片是并联接入状态,其14与第一个的3相连,并利用3,4,10,5,9五个端口进行输出。 以下是第二部分的仿真部分:

数电实训彩灯控制电路设计

桂林电子科技大学信息科技学院《数字逻辑电路》实训报告 学号 1051100425 姓名 指导教师:邹老师覃老师 2010 年 07 月 13 日

多路彩灯控制电路 1.整机设计 1.1 设计要求 (1)功能要求:八个彩灯用8个放光二极管代替; (2)设置外部操作开关,它具有控制彩灯亮点的右移、左移、全亮及全灭等功能; (3)彩灯亮点移动时间间隔取1秒; (4)彩灯的布图形状随意; (5)让学号的最后两位编码点亮相应的灯,能实现循环左右移,可控制彩灯亮灭速度 1.1.1 设计任务 通过查找资料设计彩灯的原理图﹑PCB图使其能实现全亮﹑全灭﹑左移﹑右移等功能,让学号的最后两位编码点亮相应的灯,能实现循环左右移,可控制彩灯亮灭速度 1.1.2 性能指标要求 彩灯亮点的时间间隔为1秒,占空比为50% 1.2 整机实现的基本原理及框图 1.2.1 基本原理 通过两片集成双向移位寄存器74LS194和拨码开关控制右移﹑左移和一个拨码开关进行预置端让其全亮﹑全灭和一个由555芯片构成的CP产生电路其主要原理框图如下: 1.2.2 总体框图 总体框图 2.各功能电路实现原理及电路设计 (1)彩灯演示电路 2片移位寄存器74LS194级联实现。其八个输出信号端连接八个300欧电

阻(保护发光二极管)和八个发光二极管。其电路图如下 彩灯演示电路图 (2)彩灯控制电路 移位寄存器是一个具有移位功能的寄存器。寄存器中所存的代码能够在移位脉冲的作用下依次左移或右移。既能左移又能右移的叫双向移位寄存器。根据移位寄存器存取信息的方式不同分:串行串出,串入并出,并入串出,并入并出4种形式。 本电路由2片移位寄存器74LS194级联实现。其八个输出信号端连接八个300欧电阻(保护发光二极管)和八个发光二极管和一片74LS04(控制彩灯循环亮的作用)和拨码开关控制输入的高低电平。其图如下: 彩灯控制电路图

单片机节日彩灯课程设计

1、课设目的 单片机课程设计是配合电子技术基础课程与实验教学的一个非常重要的教学环节。它不但能巩固我们已所学的单片机技术的理论知识,而且能提高我们的电子电路的设计水平,还能加强我们综合分析问题和解决问题的能力,进一步培养我们的实验技能和动手能力,启发我们的创新意识几创新思维。节日彩灯应用于各种场合,如学校,企事业单位,家庭等可以应用于节日彩灯的控制、广告灯控制等场所。通过这个实验,能够对同学们的动手能力有所提高和培养,提高同学对单片机的基本原理的理解,学会一些单片机的简单应用。

总体设计本系统采用AT89C51单片机作为中央处理器。其主要任务收集按键的信息,判断按键时否按下来执行相应彩灯的点亮方式在本系统中,AT89C51单片机的P1口用于接按键,方便使用者选择方式。P0口接的时LED彩灯。下面将从硬件电路设计和软件电路设计及仿真效果三个方面详细阐述。通过这个实验,能够对同学们的动手能力有所提高和培养,提高同学对单片机的基本原理的理解,学会一些单片机的简单应用。了解单片机的基本原理以及基本应用;提高动手制作单片机开发板的能力;掌握基本I/O口的输入输出方法;掌握中断的处理方法以及编程方法;掌握焊接单片机开发板所需要的最基本的最小系统电路。

在设计中用到单片机最小系统:复位电路以及时钟(晶振)电路、以及各种颜色的彩灯。 复位电路: 复位是单片机的初始化操作,目的是使CPU以及各个寄存器处于一个确定的初始状态。分为手动复位方式和上电自动方式。 时钟电路: 51单片机的时钟信号可由芯片内部的震荡电路产生,也可以由外部产生。 程序烧写电路: 在使用串口进行烧写电路时,用到MAX232芯片以及几个104瓷片电容。用STC软件进行程序烧写。 硬件所用器件: 万用板1块AT89C52芯片1块开关1个 导线5米12MHz晶振1个51芯片座1个 1K排阻1个Led彩灯30个按键2个 1K电阻1个10K电阻5个470欧电阻40个 瓷片电容6个5V电源1个电解电容1个 3.1电路图

彩灯控制器电路设计报告

西安科技大学高新学院 毕业设计(论文) 题目彩灯控制器电路设计 院(系、部) 机电信息学院 专业及班级电专1202班 姓名张森 指导教师田晓萍 日期 2015年5月28日

摘要 随着微电子技术的发展,人民的生活水平不断提高,人们对周围环境的美化和照明已不仅限于单调的白炽灯,彩灯已成为时尚的潮流。彩灯控制器的实用价值在日常生产实践,日常生活中的作用也日益突出。基于各种器件的彩灯也都出现,单片机因其价格低廉、使用方便、控制简单而成为控制彩灯的主要器件。 目前市场上更多用全硬件电路实现,电路结构复杂,结构单一,一旦制成成品就只能按固定模式,不能根据不同场合,不同时段调节亮度时间,模式和闪烁频率等动态参数,而且一些电路存在芯片过多,电路复杂,功率损耗大,亮灯样式单调缺乏可操作性等缺点,设计一种新型彩灯已迫不及待。 近年来,彩灯对于美化、亮化城市有着不可轻视的重要作用。因此作为城市装饰的彩灯需求量越来越大,对于彩灯的技术和花样也越来越高。目前市场上各种式样的LED彩灯多半是采用全硬件电路实现,存在电路结构复杂、功能单一等局限性,因此有必要对现有的彩灯控制器进行改进。 关键词:LED彩灯;STC-89C52单片机;彩灯控制器。

目录 1前言 (1) 1.1设计目的 (1) 1.2设计要求 (1) 1.3总体方案设计与选择的论证 (2) 2节日彩灯控制器的设计 (4) 2.1核心芯片及主要元件功能介绍 (4) 2.1.1 AT89S52芯片 (4) 表1 (5) 2.1.2 74HC377芯片 (5) 2.1.3 74HC138芯片 (6) 2.2硬件设计 (7) 2.2.1直流电源电路 (7) 2.2.2按键电路 (8) 2.2.3时钟复位电路 (8) 2.2.4 LED显示电路 (9) 2.2.5硬件调试 (9) 2.3软件设计 (10) 3 总结 (15) 3.1实验方案设计的可行性、有效性 (15) 3.2设计内容的实用性 (15) 3.3心得 (16) 附录 (16) 参考文献 (18) 致谢 (19)

单片机的彩灯课程设计报告

一、系统设计 本设计以STC89C52单片机为核心,用独立键盘控制模式的选择,STC89C52单片机接收到键盘信号后控制发光二极管以不同的模式闪烁的实验装置,用STC89C52单片机控制8个发光二极管发光,实现亮点的循环移动。通过Protel软件设计,布线排版,手工制版,并能掌握通过软件控制发光二极管的思路和技巧。这次设计重点就在于利用单片机的知识去控制系统的运行,图1-1为整体方案设计框图。 图1-1 总体方框图 1.设计要求: a.上电复位。 b.上电后数码管消隐,LED灯全灭。 c.当按下第一个按键后数码管显示1第二个按键显示2以此类推。 d.每个按键控制不同的闪烁模式。 e.数码管显示有保持功能。

二、方案设计 本设计总体设计思路为:以STC89C52单片机为核心,用独立键盘作STC89C52单片机的信号输入,STC89C52单片机接收到键盘信号后经单片机内部软件处理后将数据输出至P1口和P0口,使8发光二极管以不同的模式闪烁,并由七段数码管显示其闪烁模式编号。在课程设计中通过Protel软件设计原理图,布线排版,用万能版(单孔)手工焊接制作出实物。 1.方案论证 方案一:采用汇编语言编程的软件的STC89C52单片机系统。 本方案设计的核心为STC89C52单片机系统,包括硬件和软件,硬件采用四位独立键盘做信号输入,STC89C52单片机进行信号处理并输出,显示部分为八个发光二级管和七段数码管,八个发光二极管采用共阴极接法,七段数码管采用共阳极的。软件采用Kell编辑的汇编语言程序,并烧写至STC89C52单片机。图2-1为方案一方框图 图2-1 方案一方框图

广告彩灯控制电路设计课设

目录 引言 (2) 1设计意义及要求 (3) 1.1设计意义 (3) 1.2设计要求 (3) 2方案设计 (4) 2.1设计思路 (4) 2.2方案设计 (4) 2.2.1方案一及其电路图 (4) 2.2.2方案二及其电路图 (5) 2.3方案比较 (6) 3部分电路的设计 (7) 3.1脉冲源的设计电路 (7) 3.2 4017控制电路 (9) 3.3 同右同左控制电路 (11) 3.4 回馈控制电路 (15) 4调试与检测 (16) 4.1调试中故障及解决办法 (16) 4.2调试与运行结果 (16) 5 仿真操作步骤及使用说明 (17) 6元件名细表 (18) 7附录电路图 (19) 8结束语 (20) 本科生课程设计成绩评定表

引言 当今时代是一个新技术层出不穷的时代,在电子领域尤其是自动化智能控制领域,传统的分立元件或数字逻辑电路构成的控制系统,正以前所未见的速度发展。 在现实生活中,我们经常遇到花样多变的广告彩灯,所谓广告彩灯就是讲一系列有颜色的灯串联在一起,然后按一定次序逐个或者几个的依次点亮和熄灭。因此要设计出这种电路就要应用数字逻辑电路,本次试验依照要求要设计出三行彩灯依次点亮,三列彩灯从右向左移动从左向右移动,所以该课程设计将用到脉冲信号产生电路、4017控制电路、计数电路、译码电路及其他门电路。

广告彩灯控制电路设计 1 设计意义及要求 1.1 设计意义 (1)培养学生正确的设计思想,理论联系实际的工作作风,严肃认真、实事的科学态度和勇于探索的创新精神。 (2)锻炼学生的自学软件的能力及分析问题、解决问题的能力。 (3)通过课程设计,使学生在理论计算,课程设计、查阅设计资料、标准和规运用和计算机应用能力得到训练和提高。 (4)固化、深化和扩展学生的理论知识与专业技能。 (5)为今后从事电子领域打下坚实的基础。 1.2设计要求 1.现有9只彩灯,试设计一控制电路,要求彩灯能实现如下追逐图案; 2.第一层3只红灯右移,每灯亮的时间为0.8秒; 3.第二层3只蓝灯右移,每灯亮的时间为0.8秒; 4.第三层3只黄灯右移,每灯亮的时间为0.8秒; 5.三色彩灯同时右移,每组灯亮的时间为1.6秒; 6.三色彩灯同时左移,每组灯亮的时间为1.6秒; 7.彩灯控制电路工作状态按照上述2至6步自动重复循环。

课程设计——七彩灯报告

电子技术基础 课程设计报告 题目名称:七彩循环装饰灯控制器的设计姓名: 学号: 班级: 指导教师:王明昌 重庆大学电气工程学院 2012年5月

目录 1.设计目的 2.设计要求 3.设计内容 4.控制器电路各组成部分的工作原理 4.1电路总体功能及设计 4.2电路各部分具体功能及设计 4.2.1整流滤波电路 4.2.2调色时钟脉冲发生电路 4.2.3灯光变色控制电路 4.2.4负载电路 五、参数计算及器件选择 5.1、整流滤波电路 5.2调色时钟脉冲发生电路 六、仿真分析 七、元器件清单 八、心得体会 九、参考文献

七彩循环装饰灯控制器的设计 一.设计目的 1.1熟悉七彩循环装饰灯控制器电路的组成、工作原理和设计方法。 1.2掌握多谐振荡器、触发器、计数器的工作原理、使用方法、特点、用途及主要参数的计算方法。 1.3熟悉集成电路CD4001、555定时器、CD40518、晶闸管、整流、滤波电路的的组成、工作原理、特点及用途。 二 . 设计要求 目前,很多商业广告(如灯箱,节日彩灯,霓虹灯等)等采用循环装饰控制的形式。七彩循环装饰灯能按设计者的要求或快或慢地循环发出红、绿、黄、蓝、紫、青、白七色光,从而起到商业宣传和美化环境的作用,给城市增添了热闹气氛。我们利用已学的模电数电相关知识,可以自行设计并焊接组装该控制电路。该控制器由变压器,整流电路,时钟信号发生器,计数器和开关电路等组成。 该电路根据三基色原理,采用红、绿、蓝三种发光二极管,通过混色作用(红、绿、红+绿=黄、蓝、红+绿=紫、绿+蓝=青、红+绿+蓝=白)来产生七种色彩。 三. 设计内容 3.1设计题目:设计一个七彩循环装饰灯控制器电路。 各基本单元电路的设计条件和技术指标如下: 3.1.1整流滤波电路 正弦信号输入电压:220V,50Hz; 整流滤波电路输出电压:24V,分压输出(供集成电路用):一组采用稳压管5V;另一组采用集成稳压器。 3.1.2调色时钟脉冲发生和灯光变色控制电路 时钟脉冲振荡频率:灯光每隔0.1s~5s自动变换一种颜色,脉冲占空比: 60%。 灯光变色控制电路:采用同步加法计数器。输出的高电平应满足晶体管控制电平的要求。 3.1.3负载电路 红、绿、蓝3基色灯:24V,8W。或发光二极管。 3.1.4其他要求 要求控制器能长年通电使用,性能可靠。

相关主题
文本预览
相关文档 最新文档