当前位置:文档之家› 基于单片机的LED点阵广告牌设计

基于单片机的LED点阵广告牌设计

基于单片机的LED点阵广告牌设计
基于单片机的LED点阵广告牌设计

基于单片机的LED点阵广告牌设计

摘要

本设计使用AT89C51系列高速单片机作为主操纵模块,利用简单的外围电路来驱动64×16的点阵LED显示屏。利用AT89C51系列高速单片机本身强大的功能,能够专门方便的实现单片机与PC机间的数据传输及存储,并能利用软件方便的进行显示内容的多样变化,另一方面点阵显示屏广泛的应用于医院、机场、银行等公共场所,因此本设计具有专门强的现实应用性。

本LED显示屏能够以动态扫描的方式同时显示4个16×16点阵汉字,并能通过上位机软件修改显示内容和显示效果等等。把字符内码存储在空闲的单片机程序存储器空间,使本LED显示系统能掉电存储1024个字符。设计中采纳了SPI接口的GB2312标准字库,支持所有的国标字符和ASCII标准字符的显示。因为采纳串行传输方式,使本系统的可扩展性得到提升,便于多个显示单元的级联。

本文从LED的显示原理入手,详细阐述了LED动态显示的过程,以及硬件电路的设计、计算和软件的算法。

【关键词】动态显示;单片机;点阵字库

Abstract

This design uses STC12C series MCU as a main controller, and depends on a simple external circuit to drive 96×16 the lattice LED display. By using its own powerful functions and capacity of internal E2PROM, it is easy to accomplish the MCU and PC and E2PROM for internal storage, data transmission equipment ,and it also can be used conveniently to show a variety of content changes. The other dot matrix display is widely used in hospitals, airports, banks and other public places. Therefore, the design has a strong practical application.

The LED Display dynamic scan can show the way at the same time six 16 × 16 dot matrix Chinese characters, and PC software can modify the content and effect shows, and so on. IAP used in the application of programmable technology, the characters within the code stored in the SCM free program memory space, so that the LED display system can store 1,024 brown-out characters. SPI used in the design of the interface standard GB2312 character, to

support all the GB2312 standard ASCII characters and characters of the show. Because serial transmission used, so that the system can be enhanced scalability, for a number of display units of the cascade.

This article from the start LED display principle, elaborated on the LED display dynamic process, as well as hardware circuit design, computing and software algorithms.

Key Words:MCU;Serial Data Transfer;Llattice Llibrary

目录

1 LED概述 (10)

1.1LED电子显示屏概述 (10)

1.2LED电子显示屏的分类 (11)

2 显示原理及操纵方式分析 (12)

2.1LED点阵模块结构 (12)

2.2LED动态显示原理 (14)

2.3LED常见的操纵方式 (15)

3 硬件电路设计 (18)

3.1系统硬件概述 (18)

3.216×16LED点阵显示制作 (22)

3.2.1 16×16LED点阵的内部结构及工作原理 (22)

3.2.2 用8×8LED点阵构成16×16LED点阵 (25)

3.3主控单片机的接口讲明 (27)

3.4LED显示驱动电路 (29)

4 字模生成 (31)

4.1字模简介 (31)

4.1.1 LED显示屏领域字模实现技术 (31)

4.1.2 软件操纵系统字模提取的分析与设计 (32)

4.2字模存储技术 (34)

4.3字库生成 (35)

5软件设计 (37)

5.1程序设计总体思路和结构 (37)

5.1.1 程序设计总体思路 (38)

5.1.2 程序流程图 (38)

5.2各模块程序设计 (40)

5.2.1 系统初始化 (40)

5.2.2 LED动态显示 (40)

5.2.3 汉字显示的原理 (41)

6系统功能测试 (43)

6.1单元模块电路测试 (43)

6.2系统整体功能测试 (43)

总结 (44)

致谢........................................ 错误!未定义书签。参考文献.. (46)

附录 (48)

引言

LED(Light Emitting Diode),发光二极管,简称LED,是一种能够将电能转化为可见光的固态的半导体器件,它能够直接把电转化为光。LED的心脏是一个半导体的晶片,晶片的一端附在一个支架上,一端是负极,另一端连接电源的正极使整个晶片被环氧树脂封装起来。半导体晶片由两部分组成,一部分是P型半导体,在它里面空穴占主导地位,另一端是N型半导体,在这边要紧是电子。但这两种半导体连接起来的时候,它们之间就形成一个“P-N结”。当电流通过导线作用于那个晶片的时候,电子就会被推向P区,在P区里电子跟空穴复合,然后就会以光子的形式发出能量,这确实是LED发光的原理。

多个 LED发光灯组成固定的字符或图形进行显示,即形成LED点阵图文显示屏。其要紧特征是只操纵LED点阵中各发光器件的通断(发光或熄灭),而不操纵LED的发光强弱。LED点阵的汉字显示方式是先依照所需要的汉字提取汉字点阵(如16×16 点阵),将点阵文件存入ROM,形成新的汉字编码;而在使用时则需要先依照新的汉字编码组成语句,再由MCU依照新编码提取相应的点阵进行汉字显示。

LED点阵显示具有如下特点:

(1)电压:LED使用低压电源,供电电压在6-24V之间,依照产品不同而异,因此它是一种比使用高压电源更安全的电源。

(2)效能:消耗能量比同光效的白炽灯减少80%。

(3)适用性:每个单元LED小片是3-5mm的正方形,因此能够制备成各种形状的器件,同时适合于易变的环境。

(4)稳定性:10万小时,光衰为初始的50%。

(5)响应时刻:其白炽灯的响应时刻为毫秒级,LED灯的响应时刻为纳秒级。

(6)对环境污染:无有害金属汞。

(7)颜色:改变电流能够变色,发光二极管方便地通过化学修饰方法,调整材料的能带结构和带隙,实现红黄绿兰橙多色发光。

由于LED的众多优势,在市场中得到了广泛的应用,要紧应用领域有:

(1)、信号指示应用:信号照明是LED单色光应用比较广泛也是比较早的一个领域,约占LED应用市场的4%左右。

(2)、显示应用:指示牌、广告牌、大屏幕显示等, LED 用于显示屏幕的应用约占LED应用的20%—25%,显示屏幕可分

为单色和彩色。

(3)、照明应用:便携灯具,汽车用灯,专门照明。由于LED尺寸小,便于动态的亮度和颜色操纵,因此比较适合用于建筑装饰照明。背光照明:一般电子设备功能显示背光源、笔记本电脑背光源、大尺寸超大尺寸LCD显示器背光源等。以及投影仪用RGB光源。

1 LED概述

1.1 LED电子显示屏概述

LED电子显示屏(Light Emitting Diode Panel)是由几百--几十万个半导体发光二极管构成的像素点,按矩阵均匀排列组成。利用不同的半导体材料能够制造不同色彩的LED像素点。目前应用最广的是红色、绿色、黄色。而蓝色和纯绿色LED的开发差不多达到了有用时期。 LED显示屏是一种通过操纵半导体发光二极管的亮度的方式,来显示文字、图形、图像、动画、行情、视频、录像信号等各种信息的显示屏幕。

LED显示屏分为图文显示屏和条幅显示屏,均由LED矩阵块组成。图文显示屏可与计算机同步显示汉字、英文文本和图形;而条幅显示屏则适用于小容量的字符信息显示。LED显示屏因为其像素单元是主动发光的,具有亮度高,视角广、工作电压低、功耗小、寿命长、耐冲击和性能稳定等优点。因而被广泛应用于车站、码头、机场、商场、医院、宾馆、银行、证券市场、建筑市场、拍卖行、工业企业治理和其它公共场所。

LED显示屏的进展前景极为宽敞,目前正朝着更高亮度、更高气候耐受性、更高的发光密度、更高的发光均匀性,可靠性、全色化方向进展。

1.2 LED电子显示屏的分类

按颜色分类:

单基色显示屏:单一颜色(红色或绿色)。

双基色显示屏:红和绿双基色,256级灰度、能够显示65536种颜色。

全彩色显示屏:红、绿、蓝三基色,256级灰度的全彩色显示屏能够显示一千六百多万种颜色。

按显示器件分类:

LED数码显示屏:显示器件为7段码数码管,适于制作时钟屏、利率屏等,显示数字的电子显示屏。

LED点阵图文显示屏:显示器件是由许多均匀排列的发光二极管组成的点阵显示模块,适于播放文字、图像信息。

按使用场合分类:

室内显示屏:发光点较小,一般Φ3mm--Φ8mm,显示面积一般零点几至十几平方米。

室外显示屏:面积一般几十平方米至几百平方米,亮度高,可在阳光下工作,具有防风、防雨、防水功能。

按发光点直径分类:

室内屏:Φ3mm、Φ3.75mm、Φ5mm、

室外屏:Φ10mm、Φ12mm、Φ16mm、Φ19mm、Φ21mm、Φ26mm 室外屏发光的差不多单元为发光筒,发光筒的原理是将一组红、绿、蓝发光二极管封在一个塑料筒内共同发光增强亮度。

2 显示原理及操纵方式分析

2.1 LED点阵模块结构

八十年代以来出现了组合型LED点阵显示器模块,以发光二极管为像素,它用高亮度发光二极管芯阵列组合后,环氧树脂和塑模封装而成。这种一体化封装的点阵LED模块,具有高亮度、引脚少、视角大、寿命长、耐湿、耐冷热、耐腐蚀等特点。LED 点阵规模常见的有4×4、4×8、5×7、5×8、8×8、16×16等等。

依照像素颜色的数目可分为单色、双基色、三基色等。像素

基于单片机的LED点阵显示

设计 题目 姓名 焦作大学机电 工程学院 中图分类号: 基于单片机的LED点阵显示 专业名称: 学生姓名: 导师姓名: 职称: 学号: 焦作大学机电工程学院 2012年12 月 毕业设计

中图分类号:密级: UDC:单位代码: 基于单片机的LED点阵显示 LED-based LCD display microcontroller design 姓名学制 专业研究方向 导师职称 提交日期答辩日期 焦作大学机电工程学院

焦作大学机电工程学院毕业设计摘要 摘要 单片机自70年代问世以来得到蓬勃发展,目前单片机功能正日渐完善:单片机集成越来越多资源,内部存储资源日益丰富,用户不需要扩充资源就可以完成项目开发,不仅是开发简单,产品小巧美观,同时抗干扰能力加强,系统也更加稳定,使得它更加适合工业控制领域,具有更加广阔的市场前景;提供在线编程能力,加速了产品的开发进程,为企业产品上市赢得宝贵时间。此外单片机具有性能高、速度快、体积小、价格低、稳定可靠、应用广泛、通用性强等突出优点。单片机的设计目标主要是增强“控制”能力,满足实时控制的需要。 本文的主要内容是掌握各种单片机的结构、接口、片上外设的特点,并用STC12C5410AD单片机的片上资源设计出适当的最小系统;并利用自行制作的单片机最小系统,完成一个简单应用(量程自动转换的电压表)的设计与软件及硬件设计制作,让读者掌握数字单片机最小系统的设计及单片机系统的应用方法。 关键字:单片机仿真器 LED点阵显示屏

Abstract MCU in modern life has been widely applied in the life of the very important position. It features becoming strong, involving various electronic applications. The work process for data collection, data processing and display, receiving terminals. Including specific control, display, A / D converter, level translation interface, such as personal computers. ADC0809 used to design 8-way data sampling, the use of MCS-51 microcontroller serial port to send and receive data. Show 8155, 75452, 7407 and in part by a LED digital display. Hardware design applications for electronic design automation tools, software design is modular programming method Key W ords: Single Chip Microcomputer Emulator LED dot matrix display

LED点阵广告牌设计要点

第1章绪论 1.1 LED的发展及意义 随着社会文化的不断发展,人们的消费标准不断改变,户外灯箱广告更是扮演着越来越重要的宣传角色,不论是汽车站,火车站,股市交易市场,还是学校都离不开它,然而传统的霓虹灯广告牌不论是在显示效果、耗电量还是可修改性上都无法满足当前社会的需求,传统的霓虹灯广告亟待改进。 由于单片机技术的不断发展和高亮度LED发光管的出现使得大屏幕高亮度LED电子广告屏成为可能,与传统的霓虹灯广告在显示效果以及可修改性上都有着无法比拟的优势,而且单片机的日益平民化以及LED技术的不断创新,使得高亮度高清晰的LED点阵广告牌与传统霓虹灯广告牌的成本日益接近。另外,SMT技术的飞速发展,开关电源的大规模使用,使其无论在体积上还是在可靠性上都比传统的霓虹灯广告有明显的优势,为其在特殊领域的应用奠定了基础。 这种新兴的大屏幕显示技术成为众人目光的焦点。与传统的显示设备相比,首先,LED 显示屏色彩丰富,3基色的发光管的可以显示全彩色,显示显示方式变化多样(文字、图形、动画、视频、电视画面等)、亮度高,是集光电子技术、微电子技术、计算机技术、信息处理技术于一体的高技术产品,可用来显示文字、计算机屏幕同步的图形。其次,LED显示屏的象素采用LED发光二极管,将多个发光二极管以序列的形式构成LED 显示阵列,这种显示屏具有耗电省、成本低、亮度清晰度高、寿命长等优点,而且LED 显示屏以其受空间限制较小,并可以根据用户要求设计屏的大小,具有全彩色效果,视角大,是信息传播设施划时代的产品。再次,LED显示屏应用广泛,金融证券、银行利率、商业广告、文化娱乐等方面,显示效果清晰稳定,越来越多的地方开始使用LED 电子显示屏,有巨大的社会效益和经济效益。它以其超大画面、超宽视觉、灵活多变的显示方式等独居一格的优势,是目前国际上使用广泛的显示系统。

单片机课程设计LED点阵电子显示屏的设计

单片机课程设计LED点阵电子显示 屏的设计 1

LED点阵电子显示屏的设计 简述:LED点阵显示系统,由AT89S52单片机、串口通信模块、LED屏幕显示模块等组成,实现了对星期、年月日、时分秒的显示。 关键词:LED点阵电子显示屏单片机键盘控制 一、选用器材 AT89C52单片机1个,74LS138型号3线-8线译码器1个,74LS373三态输出的八D 透明锁存器型号,+5V电源1个,Led8*8点阵屏绿色5个, tPd PD5个,带公共端的8电阻排(排阻)1个,电容30pF3个,电阻10K欧1个,按钮6个,石英晶振1MHz1个 二、设计方案 1、实验功能 本实验要实现的功能就是,显示时分秒,年月日,且可修改。实现实时时间的显示,显示屏数字显示,时:分:秒;星期的显示;年月日的显示。显示屏经过按键切换显示星期,年月日,时间。 2、总体方案 (1)工作原理

用单片机AT89S52控制,写入程序,将数据传输到显示模块,即点阵LED电子显示屏显示器,实现日历的显示。 (2)总体设计 电路图 LED和52单片机配合实现时间显示功能。显示模块为点阵LED电子显示屏显示器,把单片机中的数据显示出来。该电路是经过按键来切换各种显示要求。 3、方案论证 (1)显示部分: 显示部分是本次设计最核心的部分,对于LED8*8点阵显示有以下两种方案:静态显示,即将每个二极管的状态分别用

1和0表示,0则无电流,为暗,1则有电流,为亮。若给每个二极管一个驱动电路,一个图像输入后,所有LED的状态保持到下一个图像。对于静态显示方式,所需的译码驱动装置很多,引线多而负责,成本高,可靠性也低。动态显示,即对每一个LED屏进行分割,对组成图像的各个部分分别显示,这样利用人眼的暂留效应和发光二极管发光时间的长短,也同样能够实现显示功能,这样就能够避免静态显示出现的问题。可是,设计上如果处理不当,容易造成图像闪烁的问题,因此合理的涉及要保证驱动电路容易实现而且保证图像稳定补闪烁。(2)数字时钟 本实验采用软件实现数字时钟。原理为:在单片机内部存储器分别存放时钟的年、月、日、时、分、秒、星期的信息。利用定时器与软件结合实现1秒定时中断,每产生一次中断,存储器内相应的秒值加1;若秒值达到60,则清零且相应的分值加1;若分值达到60,则清零且相应的时值加1;若相应的时值达到24,则清零且相应的日值加1;若相应的日值分别达到29,30,31时,根据判断来增加月值;若相应的月值达到12时,清零且年值加1。 (3)显示接口芯片的选择 采用串口输入,使用少量I/O口,但传输速度稍微慢了一些,可是不影响显示质量。于是我们采用TI公司的DMOS器件

单片机LED点阵显示方法与程序代码

单片机LED点阵显示方法与程序代码 点阵的接法有共阴和共阳两种(共阳指的是对每一行LED来讲是共阳)。 由于51单片机驱动能力有限,亮度不够,所以一般需要三极管驱动,下图为一个8X8点阵原理图,仅仅是仿真,如果需要接实物的话,加上三极管才足够亮。 显示的方法有两种: 1、逐列扫描方式。如下图所示,P1口输出列码决定哪一列能亮(相当于位码),P2口输出行码(列数据)决定列上哪些LED亮(相当于段码),能亮的列从左向右扫描完8列(相当于位码循环移位8次)即显示出一帧完整的图像。 2、逐行扫描方式,与逐列扫描调换,即P2口输出位码,P1口输出段码,扫描完8行显示出一帧图像。 以逐行扫描为例,从上图可以很明了的知道点阵的显示原理了(红色表示高电平,绿色表示低电平),当把扫描速度加快,人的视觉停留,看见的就是一幅图或一个字了,如下图所示。

一、行扫描静态显示, 用51单片机实现上图静态显示的程序如下: #include #define uchar unsigned char #define uint unsigned int uchar code TAB[]={0x81,0xFD,0xFD,0xC1,0xBF,0xBF,0xBD,0xC3}; uchar i,t; delay(uchar t) { while (t--) {;} } void main(void) { while(1) { P2=0x01; for(i=0;i<8;i++) { P1=TAB; delay(100); P2=P2<<1|P2>>7; } } } 二、行扫描翻页显示 字码取模方式为逐行 第一次从字码数组中取出第1~8个数据置于列上,行扫描顺序为1~8行,显示一帧,第二次取第9~16个数据,行扫描顺序仍为1~8行,显示第二帧,第三次取第17~24个数据,…… 实现图显示效果的程序如下:

Led点阵广告牌设计显示原理及控制方式分析

2.1 LED点阵模块结构 八十年代以来出现了组合型LED点阵显示器模块,以发光二极管为像素,它用高亮度发光二极管芯阵列组合后,环氧树脂和塑模封装而成。这种一体化封装的点阵LED模块,具有高亮度、引脚少、视角大、寿命长、耐湿、耐冷热、耐腐蚀等特点。LED点阵规模常见的有4×4、4×8、5×7、5×8、8×8、16×16等等。 根据像素颜色的数目可分为单色、双基色、三基色等。像素颜色不同,所显示的文字、图象等内容的颜色也不同。单色点阵只能显示固定色彩如红、绿、黄等单色,双基色和三基色点阵显示内容的颜色由像素内不同颜色发光二极管点亮组合方式决定,如红绿都亮时可显示黄色,如果按照脉冲方式控制二极管的点亮时间,则可实现256或更高级灰度显示,即可实现真彩色显示。 图2.1示出最常见的8×8单色LED点阵显示器的内部电路结构和外型规格,其它型号点阵的结构与引脚可试验获得。 图2.1 8×8单色LED模块内部电路 LED点阵显示器单块使用时,既可代替数码管显示数字,也可显示各种中西文字及符号.如5x7点阵显示器用于显示西文字母.5×8点阵显示器用于显示中西文,8x8点阵可以用于显示简单的中文文字,也可用于简单图形显示。用多块点阵显示器组合则可构成大屏幕显示器,但这类实用装置常通过PC机或单片机控制驱动。 2.2 LED 动态显示原理 LED点阵显示系统中各模块的显示方式:有静态和动态显示两种。静态显示原理简单、控制方便,但硬件接线复杂,在实际应用中一般采用动态显示方式,动态显示采用扫描的方式工作,由峰值较大的窄脉冲电压驱动,从上到下逐次不断地对显示屏的各行进行选通,同时又向各列送出表示图形或文字信息的列数据信号,反复循环以上操作,就可显示各种图形或文字信息。

基于51单片机的led点阵显示

项目名称:基于51单片机的LED点阵显示器 目录 一、项目介绍 (2) 1.1 项目背景 1.2 功能介绍 二、电路结构 (3) 三、实现模块 (5) 四、运行程序 (7) 一、项目介绍 1.1项目背景 当今世界,电子技术迅猛发展,点阵式显示器件作为现代信息显示的重要媒体,在金融证券、体育、机场、交通、商业、广告宣传、邮电电信、指挥调度、国防军事等许多领域中得到了广泛应用。因此点阵式显示器件的研制、生产也的到了迅速的发展,并逐步形成产业,成为光电子行业的新兴产业领域。目前,点阵式显示器件具体包括LED显示模块和LCD显示模块等。现在发展的LCD比较先进,LCD的优点较为明显,他体积小,容易控制,功能强,价格适宜,能够适应显示器的发展方向,因而在通信、家电、大屏幕投影等领域得到了越来越广泛的应用;随着社会经济的迅猛发展,工业生产逐渐实现了自动化,其中,设备的工

作状态和生产过程状态的显示与监控起到了非常重要的作用,对于那些需要显示的信息量不是很大,分辨率不是很高,又需要制造成本相对比较低的场合,使用大、小屏幕LED点阵显示器是比较经济适用的,他可以显示字符、数字、汉字和简单图形,可以根据需要使用不同字号、字型,显示亮度较高,并且对环境条件要求比较低。LED显示又可以分为单色显示和双色显示,可以按照需要的大小、形状和颜色进行组合,并用单片机控制实现各种文字或图形的变化,达到宣传和提示的目的。 1.2功能介绍 2本次设计的用单片机控制的显示电路使用比较简单,操作方便。它主要是通过一个8×8点阵来显示图案,通过不同的按键来选择控制图案的种类及显示方式。在通电以后,显示屏全亮,随后进入逐字显示状态。按下复位键K1,系统自动复位,显示diligent,随后进入待命状态。按键1、2、3、4分别控制不同的图案。另外,我们可以通k5键来控制字符移动速度的快慢。 二、电路结构 单片机最小系统设计 2.2.1 各部分具体电路 1 单片机的时钟电路 AT89C52单片机内部的振荡电路是一个高增益反向放大器,引线XTAL1和XTAL2分别是放大器的输入端和输出端。单片机内部虽然有振荡电路,但要形成时钟,外部还需附加电路。AT89C52的时钟产生方式有两种:内部时钟电方式和外部时钟方式。由于外部时钟方式用于多片单片机组成的系统中,所以此处选用内部时钟方式。 内部时钟方式:利用其内部的振荡电路在XTAL1和XTAL2引线上外接定时元件,内部振荡电路产生自激振荡。最常用的是在 XTAL1和XTAL2之间接晶体振荡器与电路构成稳定的自激振荡器,如图2-1电路所示为单片机最常用的时钟振荡电路的接法,其中晶振可选用振荡频率为6MHz的石英晶体,电容器一般选择30PF左右。

LED点阵式电子广告牌控制

任务7 LED点阵式电子广告牌控制 1.任务目的 利用单片机控制一块最简单的8x8 LED点阵式电子广告牌,将一些特定的文字或图形以特定的方式显示出来。 2.任务要求 用单片机控制一块8x8 LED点阵式电子广告牌,循环显示的数字为0~9。 3.电路及元器件 用单片机控制一块8x8LED点阵式电子广告牌的硬件电路如图12.1所示。每一块8x8 LED点阵式电子广告牌有8行8列共l6个引脚,采用单片机的Pl口控制8条行线,P0口控制8条列线。 4任务分析

5.程序设计 在8x8 LED点阵上稳定显示一个字符的程序设计思路如下:首先选中8x8 LED的第l行,然后将该行要点亮状态所对应的字型码,送到列控制端口,延时约l ms后,选中下一行,再传送该行对应的显示状态字型码,延时后再选中第3行,重复上述过程,直至8行均显示一遍,时间约为8 ms,即完成一遍扫描显示。然后再从第1行开始循环扫描显示,利用视觉驻留现象,人们看到的是一个稳定的图形。多个字符的显示程序则在一个字符显示程序的基础上再外嵌套一个循环即可。 /程序:ex5_3.c //功能:在8×8LED点阵上循环显示数字0~9 #include "REG51.H"

void delay1ms(); //延时约1ms函数声明 void main() { unsigned char code led[]={0x18,0x24,0x24,0x24,0x24,0x24,0x24,0x18, //0 0x00,0x18,0x1c,0x18,0x18,0x18,0x18,0x18, //1 0x00,0x1e,0x30,0x30,0x1c,0x06,0x06,0x3e, //2 0x00,0x1e,0x30,0x30,0x1c,0x30,0x30,0x1e, //3 0x00,0x30,0x38,0x34,0x32,0x3e,0x30,0x30, //4 0x00,0x1e,0x02,0x1e,0x30,0x30,0x30,0x1e, //5 0x00,0x1c,0x06,0x1e,0x36,0x36,0x36,0x1c, //6 0x00,0x3f,0x30,0x18,0x18,0x0c,0x0c,0x0c, //7 0x00,0x1c,0x36,0x36,0x1c,0x36,0x36,0x1c, //8 0x00,0x1c,0x36,0x36,0x36,0x3c,0x30,0x1c}; //9 unsigned char w; unsigned int i,j,k,m; while(1) { for(k=0;k<10;k++) //字符个数控制变量 { for(m=0;m<400;m++) //每个字符扫描显示400次,控制每个字符显示时间 { w=0x01;//行变量w指向第一行 j=k*8; //指向数组led的第k个字符第一个显示码下标 for(i=0;i<8;i++) { P1=w; //行数据送P1口 P0=led[j]; //列数据送P0口 delay1ms();

基于单片机的LED点阵显示课程设计

1 LED电子显示屏原理 1.1 L ED电子显示屏概述 LED电子显示屏(Light Emitting Diode Panel)是由几百--几十万个半导体发光二极管构成的像素点,按矩阵均匀排列组成。利用不同的半导体材料可以制造不同色彩的LED像素点。目前应用最广的是红色、绿色、黄色。而蓝色和纯绿色LED的开发已经达到了实用阶段。 LED显示屏是一种通过控制半导体发光二极管的亮度的方式,来显示文字、图形、图像、动画、行情、视频、录像信号等各种信息的显示屏幕。 LED显示屏分为图文显示屏和条幅显示屏,均由LED矩阵块组成。图文显示屏可与计算机同步显示汉字、英文文本和图形;而条幅显示屏则适用于小容量的字符信息显示。LED 显示屏因为其像素单元是主动发光的,具有亮度高,视角广、工作电压低、功耗小、寿命长、耐冲击和性能稳定等优点。因而被广泛应用于车站、码头、机场、商场、医院、宾馆、 GAGGAGAGGAFFFFAFAF

银行、证券市场、建筑市场、拍卖行、工业企业管理和其它公共场所。 LED显示屏的发展前景极为广阔,目前正朝着更高亮度、更高气候耐受性、更高的发光密度、更高的发光均匀性,可靠性、全色化方向发展。 1.2 LED显示屏动态显示原理 LED点阵显示系统中各模块的显示方式:有静态和动态显示两种。静态显示原理简单、控制方便,但硬件接线复杂,在实际应用中一般采用动态显示方式,动态显示采用扫描的方式工作,由峰值较大的窄脉冲电压驱动,从上到下逐次不断地对显示屏的各行进行选通,同时又向各列送出表示图形或文字信息的列数据信号,反复循环以上操作,就可显示各种图形或文字信息。 点阵式LED汉字广告屏绝大部分是采用动态扫描显示方式,这种显示方式巧妙地利用了人眼的视觉暂留特性。将 GAGGAGAGGAFFFFAFAF

基于C51单片机的8×8 LED点阵屏汉字显示..

单片机课程设计报告 —8×8 LED点阵屏显示“大”字 第一章设计内容及要求 (3) 第二章总体设计 (3) 2.1 系统框图.........................................................3、4 2.2 设计步骤 (4) 第三章各部分电路设计 (4) 3. 1 复位电路………………………………………………4 、5 3.2时钟电路……………………………………………5、 6 3.3显示电路.........................................................6、7 3. 4大字取模 (7) 3.5 LED 引脚连接方式..........................................8、9 3.6总体电路 (9) 第四章程序设计 (9) 4.1软件流图......................................................9、10 4.2大字的模 (10) 4.2主程序......................................................10、11 4.3 C51单片机开发工具:keil 4 Proteus使用方法...11、16 第五章仿真结果 (16)

第六章总结与体会................................................17、18 第七章参考文献 (18) 附录程序清单……………………………………………19、20 基于C51单片机的8×8 LED点阵屏汉字显示 一设计要求 1、设计一个8*8点阵LED电子显示屏 2、要求在目测条件下LED显示屏各点亮度均匀、充足,可静态显示一个大字。 二总体方案设计 2.1系统框图 根据设计要求与设计方案,硬件电路的设计框图如图1所示。硬件电路结构由8个部分组成:时钟电路、复位电路、按键接口电路、电源电路、点阵显示阳极电路、点阵显示阴极电路和8*8点阵显示电路。

单片机课程设计——LED8×8点阵实验

单片机原理及应用课程设计 ——LED 8×8点阵的设计作者:王雨轩指导老师:张金花 摘要:本设计主要是利用可编程并行I/O接口芯片8255。8×8点阵共需要64个发光二极管组成,当接高电平的时候则相应的二极管就亮。字模中每一点使用一个二进制位(Bit)表示,如果是1,则说明此处有点,若是0,则说明没有。这样,一个8×8点阵的汉字总共需要8×8/8=8个字节表示。 Abstract:This design mainly is to use programmable parallel I/O interface chip 8255.8×8 dot matrix of total need 64 light-emitting diodes.When meet high level has corresponding diode is bright.Type in every Point of the use of a binary (Bit).If it is 1, then here is a little point.If it is 0, then here is no point.In this way, an 8×8 dot matrix Chinese characters, it will be altogether 8×8/8=8 bytes. 关键字:单片机 8255 发光二极管点阵

目录 说明书总页数:13页LED 8×8点阵的设计 (3) 一、课题要求与内容 (3) (一)课题要求 (3) (二)课题内容 (3) (三)使用设备 (3) 二、系统总体方案设计 (4) (一)系统流程图 (4) 三、系统硬件设计 (5) (一)设计原理图 (5) (二)主机连线说明 (5) (三)硬件调试 (5) 四、系统软件设计 (6) (一)程序清单 (6) (二)软件调试 (8) (三)字码表 (9) 五、结束语 (12) 六、参考文献 (13)

单片机设计8X8LED点阵显示原理与编程技术

#i nclude unsigned char code taba[]={0xfe,0xfd,0xfb,0xf7,0xef,0xdf,0xbf,0x7f}; unsigned char code tabb[]={0x01,0x02,0x04,0x08,0x10,0x20,0x40,0x80}; void delay(void) { unsigned char i,j; for(i=10;i>0;i--) for(j=248;j>0;j--); } void delay1(void) { unsigned char i,j,k; for(k=10;k>0;k--) for(i=20;i>0;i--) for(j=248;j>0;j--); } void main(void) { unsigned char i,j; while(1) {

for(j=0;j<3;j++)//from left to right 3 time { for(i=0;i<8;i++) { P3=taba[i]; P1=0xff; delay1(); } } for(j=0;j<3;j++)//from right to left 3 time { for(i=0;i<8;i++) { P3=taba[7-i]; P1=0xff; delay1(); } } for(j=0;j<3;j++)//from top to bottom 3 time { for(i=0;i<8;i++) { P3=0x00; P1=tabb[7-i]; delay1(); } }

单片机LED点阵式电子广告牌控制

嵌入式系统应用实训报告 课题名称单片机LED点阵式电子广告牌控制班级电信1116 学号45 姓名何金鑫

2013年5月 第一章设计任务和要求 、课程设计目的和要求: 1、本课程是电气工程及其自动化专业、电子信息科学与技术的必修课; 2、通过该实习使学生具有一定的动手能力、维修维护及改造能力、绘图能力,具体表现在:常用分立电子元器件的的识别、检测、使用能力;

3、通过该实习是学生达到如下要求:掌握单片机的工作原理和用途;认识二极管、发光二极管、电解电容等常用电子元器件; 4、学会常用电子元器件的测试、参数读取、质量鉴别、管脚识别等; 5、掌握焊接技术; 第二章主要内容: 1、电路原理分析,掌握单片机最小系统的设计,并自行设计一个最小的控制系统。 2.、焊接技术练习。熟悉电烙铁的基本知识,掌握电

烙铁的使用方法、技巧等,利用提供的印刷电路板、原件等使学生具有一定的焊接水平。 3、电路焊接组装及调试。将元器件按顺序、有步骤地安装在印刷电路板上(熟悉protel),边安装边测试,最后完成整个最小控制系统的设计。安装正常后根据自行设计的最小系统下载程序并进行调试,根据结果分析硬件电路焊接状态并进行分析报告。 4、整理实习报告。 第三章设计任务 利用AT89C/S52设计电路,上交电路图以及c源程序及hex文件,设计四个功能键,实现每个功能键控制不同的LED灯开关方式。 单片机系统是整个系统的核心部分,它主要用于键盘按键管理、数据处理、实时采样分析系统参数及

对各部分反馈环节进行整体调整。单片机最小系统包括电源电路、时钟电路、复位电路,检查硬件电路时,首先要检查电源是否接上,时钟电路和复位电路是否正常。AVR单片机的复位不同于51系列的高电平复位,是低电平复位,而且由于AVR单片机内部设置了复位电路,外部复位电路可以省去。

LED点阵广告牌的设计(史诗级完整版)

<> 课程设计报告 题目:LED点阵广告牌的设计 专业:电子信息工程 年级: 学号: 学生姓名: 联系电话: 指导老师: 完成日期: 2013 年 5 月 25 日

摘要 本设计使用AT89C51系列高速单片机作为主控制模块,利用简单的外围电路来驱动8×8的点阵LED点阵显示屏。利用AT89C51系列高速单片机本身强大的功能,可以很方便的实现单片机与PC机间的数据传输及存储,并能利用软件方便的进行显示内容的多样变化,另一方面点阵显示屏广泛的应用于医院、机场、银行等公共场所,所以本设计具有很强的现实应用性。 本LED显示屏能够以动态扫描的方式显示8×8点阵数字,摸拟电梯数字显示,并能通过上位机软件修改显示内容和显示效果等等。本文从LED的显示原理入手,详细阐述了LED动态显示的过程,以及硬件电路的设计、计算和软件的算法。 关键词:动态显示;单片机;LED点阵

ABSTRACT This design USES AT89C51 series high speed single chip microcomputer as the main control module, the use of simple peripheral circuit to drive the 8 x 8 dot matrix LED dot matrix display screen. USES AT89C51 series high-speed microcontroller itself powerful function, can easily achieve data transmission between MCU and PC and storage, and use software can easily display the content of diversity change, on the other hand of dot matrix display screen is widely used in hospitals, airports, Banks and other public places, so this design has a strong practical applicability. The LED display can be displayed in a dynamic scanning way 8 x 8 dot matrix digital, and can pass the PC software to modify the display content and effect and so on. This article obtains from the principle of LED display, LED dynamic display process were introduced in detail, and the hardware circuit and software algorithm. The design and calculation of Key Words:Dynamic display; Single chip microcomputer; The LED lattice

LED广告牌系统设计

课程设计 嵌入式系统 课程设计报告 题目:基于ARM的LED 广告牌系统设计 班级: 姓名: 学号: 指导教师: 成绩: 电子与信息工程学院 信息与通信工程系

摘要 随着信息时代高新技术的飞速发展,人们对及时获取并显示各类信息的愿望日益强烈,由此带动了信息传媒的飞速发展,发光二极管(LED)显示屏就是信息显示的重要传媒之一. 目前各种大屏幕LED显示屏的控制常采用8位或16位的微处理器,但由于这些微处理器系统的运行速度、寻址能力和功耗等问题,已难满足显示区域较大、显示内容切换频繁的相对较复杂的应用场合.本课题针对目前大屏幕LED显示系统存在的问题,结合当今先进的微控制器产品、控制技术和通信技术,采用基于ARM核的新一代32位嵌入式RISC 微处理器组成前级驱动电路,PC机用于后级管理和控制,方便地组成由多块大屏幕LED 显示器构成的显示系统.与传统的基于8位或16位普通单片机的LED显示系统相比较,该系统在不显著增加系统成本的情况下,可支持更大可视区域的稳定显示,同时可存储更多的显示内容. 关键词:32位ARM微处理器;LED显示系统

基于ARM的LED广告牌系统设计 目录 摘要 (1) 目录 (3) 1 系统功能分析 (4) 1.1 LED电子显示屏 (4) 1.2 整体思路 (4) 1.3原理介绍 (5) 2系统硬件设计 (5) 2.1 电源模块的设计 (5) 2.2 ARM控制模块的设计 (6) 2.3 串口通信模块的设计 (7) 2.4LED显示模块 (7) 2.5 模块功能 (8) 3 系统工作流程及控制方式分析 (9) 3.1 系统工作流程 (9) 3.2 传输方式 (9) 3.3 软件控制系统字模提取的分析与设计 (10) 3.4 系统综述 (10) 4 总结 (11) 参考文献 (12)

单片机交通灯课程设计(LED显示倒计时)(精)

单片机交通灯课程设计 (LED显示倒计时 .txt27信念的力量在于即使身处逆境,亦能帮助你鼓起前进的船帆;信念的魅力在于即使遇到险运,亦能召唤你鼓起生活的勇气;信念的伟大在于即使遭遇不幸,亦能促使你保持崇高的心灵。本文由 ID 很难想啊贡献 doc文档可能在 WAP 端浏览体验不佳。建议您优先选择 TXT ,或下载源文件到本机查看。摘要近年来随着科技的飞速发展, 单片机的应用正在不断深入, 同时带动传统控制检测技术日益更新。在实时检测和自动控制的单片机应用系统中, 单片机往往作为一个核心部件来使用, 仅单片机方面知识是不够的, 还应根据具体硬件结构软硬件结合, 加以完善。由于我国经济的快速发展从而导致了汽车数量的猛增, 大中型城市的城市交通, 正面临着严峻的考验, 从而导致交通问题日益严重, 日常的交通堵塞成为人们司空见惯而又不得不忍受的问题。在这种背景下,结合我国城市道路交通的实际情况,开发出真正适合我们自身特点的智能信号灯控制系统已经成为当前的主要任务。对交叉口实行科学的管理与控制是交通控制工程的重要研究课题,是保障交叉口的交通安全和充分发挥交叉口的通行能力的重要措施,是解决城市交通问题的有效途径。交通灯控制系统由 80C51 单片机、键盘、LED 显示、交通灯延时组成。系统除具有基本交通灯功能外, 还具有时间设置、LED 信息显示功能,市交通实现有效控制。 目录 一序言...... 3 1.1 交通灯的形成...... 4 1.2 单片机的发展历程...... 4 1.3 芯片简介 (5) 1.4 技术指标及设计要求...... 7 二硬件电路的设计及描述...... 9 2.1MCS-51 单片机内部结构...... 9 2.2 MCS-51 单片机芯片引脚位置及功能符号...... 12 2.3 51 系列单片机运行的硬件条件...... 13 2.4 单片机的特点与应用...... 14 三软件设计流程及描述...... 15 3.1 软件设计...... 15 3.2 电路连接分配...... 16 3.3 主程序流程图...... 17 四源程序代码 (18) 体会总结...... 22 体会总结参考文献 (23) 2 一序言

基于单片机的Led点阵广告牌设计.doc

课程设计任务书 学生姓名:田鑫专业班级:电子0703班 指导教师:封小钰工作单位:信息工程学院 题目: 基于单片机的Led点阵广告牌设计 初始条件 本设计既可以使用集成集成译码器、计数器、定时器、脉冲发生器和必要的门电路等。也可以使用单片机系统构建。 要求完成的主要任务: 1、课程设计工作量:2周 2、技术要求: (1)采用单片机作为整个控制系统的控制电路的核心部分,配以各种显示、驱动模块,实现对点阵模块的显示和测量。 (2)确定设计方案,按功能模块的划分选择元、器件和集成电路,设计分电路,阐述基本原理。 (3)绘制总体电路原理图。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 1、2011年1月10日至14日,课程设计任务布置、选题、查询资料 2、2011年1月15日至17日,设计,软件编程、仿真和调试 3、2011年1月18日至20日,设计的硬件调试并撰写报 告 4、2011年1月21日,机房检查设计成果,提交设计说明书及答辩 指导教师签名:年月日 系主任(或责任教师)签名:年月日 目录 摘要................................................................................................................... 错误!未定义书签。ABSTRACT...................................................................................................... 错误!未定义书签。 1 LED概述....................................................................................................... 错误!未定义书签。 1.1LED电子显示屏概述 ............................................................................ 错误!未定义书签。

单片机课程设计8个LED灯来回点亮

目录 第一章绪论--------------------------------------------------------3 第二章设计目的及要求-----------------------------------------5 设计目的--------------------------------------------------------5 设计要求--------------------------------------------------------5 第三章设计电路原理----------- -------------------------------7 控制部分的设计与选择-------------------------------------7 LED显示方案-----------------------------------------------8 第四章硬件系统------------------------------------------------9 原件清单-------------------------------------------------------9 单片机AT89C5---------------------------------9 单片机时钟电路--------------------------------------------10

单片机复位电路---------------------------------------------11 工作电路------------------------------------------------------12 第五章软件设计------------------------------------------------13 程序流程图--------------------------------------------------13 编辑源程序--------------------------------------------------14 第六章系统调试与仿真结果--------------------------------16 系统调试-----------------------------------------------------16 仿真结果----------------------------------------------------16 总结------------------------------------------------------------- 19 参考文献--------------------------------------------------------20 第一章绪论

基于单片机的Led点阵广告牌设计

盛年不重来,一日难再晨。及时宜自勉,岁月不待人。 基于单片机的LED广告牌设计 班级电子2班姓名秦地学号0902214075 成绩 一、设计背景 LED显示屏是利用发光二极管点阵模块或像素单元组成的平面式显示屏幕。它具有发光效率高、使用寿命长、组态灵活、色彩丰富以及对室内外环境适应能力强等优点。并广泛的应用于公交汽车,码头,商店,学校和银行等公共场合的信息发布和广告宣传。LED 显示屏经历了从单色,双色图文显示屏到现在的全彩色视频显示屏的发展过程,自20世纪八十年代开始,LED显示屏的应用领域已经遍布交通、电信、教育、证券、广告宣传等各方面。 二、任务要求 设计一个简易的LED广告牌,用于显示自己的名字或其它个性信息。显示的字符用LED 发光二极管排列成固定形状,在控制电路驱动下各字符轮流循环点亮。或者用LED点阵显示,显示内容可更新。汉字一般是以点阵式方式存储的,如16×16,24×24点阵。汉字的字模其实是汉字字形的图形化。所谓16点阵字模,就是把汉字写在一个16×16的网格内,汉字的笔划通过某网格时该网格就对应1,否则该网格对应0,这样,每一网格均对应1或0,把对应1的网格连起来看,就是这个汉字。汉字就是这样通过字节表示其点阵存储在字形中的。为了方便查找所需要汉字的点阵,每个汉字都与一个双字节的内码相对应。通过汉字的内码可以计算出它的点阵起始字节。 三、整体设计方案 如图所示,本设计通过单片机来控制行列驱动器使LED显示屏显示出汉字,单片机选用AT89C52芯片,行驱动器采用74HC154的P0口,列驱动器选用74HC595芯片。该系统主要由AT89C52芯片、电源、行驱动器、列驱动器、16×64LED点阵5部分组成。

单片机课程设计LED报告

中国地质大学(武汉)单片机课程设计报告 学院:机械与电子信息学院 班级:072121 姓名:

目录 一方案设计 (2) 1 题目意义 (2) 2 系统功能 (2) 二模块描述 (2) 1 LED模块 (2) 2 键盘模块 (3) 3 串口通信模块 (4) 三各功能程序设计 (4) 1 LED滚动程序设计 (4) (1)左滚动 (5) (2)右滚动 (5) (3)上滚动 (6) (4)下滚动 (6) 2 键盘扫描程序设计 (7) 3 串口通信程序设计 (9) 4 滚动暂停程序设计 (10) (1)键盘控制 (10) (2)串口控制 (11) 5 显示截断程序设计 (12) (1)键盘控制 (12) (2)串口控制 (13) 6 总体程序设计 (14) 四课程设计体会 (15) 五附录 (15)

一方案设计 1 题目意义 点阵显示是集微电子技术、计算机技术、信息处理于一体的新型显示方式。具有:亮度高、工作电压低、功耗小、小型化、寿命长、耐冲击和性能稳定等优点,所以受到广泛重视而达到迅速发展,LED的发展前景极其广阔,目前正朝着更高亮度、更高耐气候性、更高的发光密度、更高的发光均匀性,可靠性、全色化方向发展。 在当今现代信息化社会的高速发展过程中,大屏幕显示已经从公共信息展示等商业应用向消费类多媒体应用渗透。随着宽带网络的发展,数字化的多媒体内容将在信息世界中占据主流,新型的大屏幕显示设备将代替传统带内实际成为人们享受信息和多媒体内容的中心。 与传统的显示设备相比,这种未来的巨大希求让大屏幕显示技术成为众人目光的焦点: LED显示屏色彩丰富,显示方式变化多样(图形、文字、三维、二维动画、电视画面等)、亮度高、寿命长、是信息传播设施划时代的产品。 LED显示屏是集微电子技术、计算机技术、信息处理于一体的高技术产品,可用来显示文字、计算机屏幕同步的图形。它以其超大画面、超强视觉、灵活多变的显示方式等独具一格的优势,是目前国际上使用广泛的显示系统。 LED显示屏应用广泛,金融证券,银行利率,商业广告,文化娱乐等方面,有着巨大的社会效益和丰厚的经济效益。 2 系统功能 本文设计的系统是基于Lab8000通用微控制器实验系统的16x16 LED点阵显示系统。 本系统可实现如下功能: 1、通过键盘输入命令使LED点阵显示屏显示汉字并呈现出左滚动、右滚 动、上滚动、下滚动的循环显示效果。 2、可通过键盘输入命令使正在滚动显示的显示屏汉字暂停或继续滚动。 3、当显示屏滚动显示时,如果按下按键,会使当前显示的汉字截断,显 示新的汉字及效果。 4、通过串口调试助手输入命令使LED点阵显示屏显示汉字并呈现出左滚 动、右滚动、上滚动、下滚动的循环显示效果。 5、可通过串口调试助手输入命令使正在滚动显示的显示屏汉字暂停或 继续滚动。 6、当显示屏滚动显示时,如果通过串口调试助手输入命令,会使当前显 示的汉字截断,显示新的汉字及效果。 二模块描述 1 LED模块

相关主题
文本预览
相关文档 最新文档