当前位置:文档之家› 数字逻辑(第2版)习题答案

数字逻辑(第2版)习题答案

数字逻辑(第2版)习题答案
数字逻辑(第2版)习题答案

毛法尧第二版

习题一

1.1 把下列不同进制数写成按权展开式:

⑴(4517.239)10= 4×103+5×102+1×101+7×100+2×10-1+3×10-2+9×10-3

⑵(10110.0101)2=1×24+0×23+1×22+1×21+0×20+0×2-1+1×2-2+0×2-3+1×2-4

⑶(325.744)8=3×82+2×81+5×80+7×8-1+4×8-2+4×8-3

⑷(785.4AF)16=7×162+8×161+5×160+4×16-1+A×16-2+F×16-3

1.2 完成下列二进制表达式的运算:

1.3 将下列二进制数转换成十进制数、八进制数和十六进制数:

⑴(1110101)2=(165)8=(75)16=7×16+5=(117)10

⑵(0.110101)2=(0.65)8=(0.D4)16=13×16-1+4×16-2=(0.828125)10

⑶(10111.01)2=(27.2)8=(17.4)16=1×16+7+4×16-1=(23.25)10

1.4 将下列十进制数转换成二进制数、八进制数和十六进制数,精确到小数点后5位:

⑴(29)10=(1D)16=(11101)2=(35)8

⑵(0.207)10=(0.34FDF)16=(0.00111)2=(0.15176)8

采用0舍1入规则

⑶(33.333)10=(21.553F7)16=(100001.01011)2=(41.25237)8

1.5 如何判断一个二进制正整数B=b6b5b4b3b2b1b0能否被(4)10整除?

解: 一个二进制正整数被(2)10除时,小数点向左移动一位, 被(4)10除时,小数点向左移动两位,能被整除时,应无余数,故当b1=0和b0=0时, 二进制正整数B=b6b5b4b3b2b1b0能被(4)10整除.

1.6 写出下列各数的原码、反码和补码:

⑴0.1011

[0.1011]原=0.1011; [0.1011]反=0.1011; [0.1011]补=0.1011

⑵0.0000

[0.000]原=0.0000; [0.0000]反=0.0000; [0.0000]补=0.0000

⑶-10110

[-10110]原=110110; [-10110]反=101001; [-10110]补=101010

1.7 已知[N]补=1.0110,求[N]原,[N]反和N.

解:由[N]补=1.0110得: [N]反=[N]补-1=1.0101, [N]原=1.1010,N=-0.1010

1.8 用原码、反码和补码完成如下运算:

⑴0000101-0011010

[0000101-0011010]原=10010101;

∴0000101-0011010=-0010101。

[0000101-0011010]反=[0000101]反+[-0011010]反=00000101+11100101=11101010 ∴0000101-0011010=-0010101

[0000101-0011010]补=[0000101]补+[-0011010]补=00000101+11100110=11101011 ∴0000101-0011010=-0010101

⑵0.010110-0.100110

[0.010110-0.100110]原=1.010000;

∴0.010110-0.100110=-0.010000。

[0.010110-0.100110]反=[0.010110]反+[-0.100110]反=0.010110+1.011001=1.101111

∴0.010110-0.100110=-0.010000; 若符号位产生进位,加到最后1位上。

[0.010110-0.100110]补=[0.010110]补+[-0.100110]补=0.010110+1.011010=1.110000

∴0.010110-0.100110=-0.010000

1.9 分别用“对9的补数”和“对10的补数”完成下列十进制数的运算:

⑴2550-123

[2550-123]9补=[2550]9补+[-123]9补=02550+99876=02427

∴2550-123=2427

[2550-123]10补=[2550]10补+[-123]10补=02550+99877=02427

∴2550-123=2427

⑵537-846

[537-846]9补=[537]9补+[-846]9补=0537+9153=9690

∴537-846=-309

[537-846]10补=[537]10补+[-846]10补=0537+9154=9691

∴537-846=-309

1.10 将下列8421BCD码转换成二进制数和十进制数:

⑴(0110,1000,0011)8421BCD=(1010101011)2=(683)10

⑵(0100,0101.1001)8421BCD=(101101.11100110)2=(45.9)10

1.11 试用8421BCD码、余3码、和格雷码分别表示下列各数:

⑴(578)10=(0101,0111,1000)8421BCD=(1000,1010,1011)余3码=(1001000010)2=(1101100011)Gray

⑵(1100110)2=(1010101)Gray=(102)10=(0001,0000,0010)8421BCD=(0100,0011,0101)余3码

1-12:(27)10 (0011 1000)8421BCD (135.6)8 (110111001)2 (3AF)16

习题二

2.1 分别指出变量(A,B,C,D)在何种取值组合时,下列函数值为1。

F

)1(+

=如下真值表中共有6种

D

B

C

AB

F

(

A

)2(=

+

=如下真值表中共有8种

+

+

B

+

B

B

D

D

A

)

)(

A

B

A

D C B A CD )B A (D )C A A (F )3(++=++?+=如下真值表中除0011、1011、1111外共有13

种:

2.2 用逻辑代数公理、定理和规则证明下列表达式: ⑴ C A B A C A AB ?+=+

证明:左边=C A B A C B B A C A A A )C A )(B A (?+=?++?+=++=右边 ∴原等式成立. ⑵ 1B A B A B A AB =?+++

证明:左边=1A A )B B (A )B B (A )B A B A ()B A AB (=+=+++=?+++=右边 ∴原等式成立.

⑶ C AB C B A C B A ABC A ++?=

证明:左边=

C

B A

C AB C B A C B A )B B (C A )C C (B A C

A B A )C B A (A ?++?+=+++=+=++

=C AB C B A C B A ++?=右边 ∴原等式成立.

⑷ C A C B B A C B A ABC ++=??+

证明:右边==+++)C A )(C B )(B A (C B A ABC ??+=左边 ∴原等式成立.

⑸ C A B A BC B A ABC ?+?=+?+

:

=C

+

(?

ABC

=

+

+=右边

?

A

?

)

A

B

C

A

)(

B

B

∴原等式成立.

2.3 用真值表检验下列表达式:

⑴)

+

A+

=

?

B

+

B

)(

AB

B

A

A

(

⑵C

+

=

+

AB?

A

B

A

C

A

2.4 求下列函数的反函数和对偶函数:

⑴C

F+

A

=

C

B

(

F+

=

A

+

)(

)

C

C

B

A

F'+

+

=

(

)

C

B

()

C

⑵)

A

F+

+

+

=

B

B

D

C

(A

C

(

A

F+

=

B

+

+

D

C

)(

A

)

B

C

)(

A

B

(

+

)(

+

F'+

=

D

B

C

)

C

)(

A

⑶]

[

B

A

=

+

F+

(

G

C

F

)

E

D

F+

A

B

+

=

+

[(

+

)

G

C

F

]

E

D

)(

F'+

A

+

+

[(

=

+

B

)

G

]

F

E

D

)(

C

2.5 回答下列问题:

⑴已知X+Y=X+Z,那么,Y=Z。正确吗?为什么?

答:正确。

因为X+Y=X+Z,故有对偶等式XY=XZ。所以

Y= Y + XY=Y+XZ=(X+Y)(Y+Z) =(X+Y)(Y+Z)

Z= Z + XZ=Z+XY=(X+Z)(Y+Z) =(X+Y)(Y+Z)

故Y=Z。

⑵已知XY=XZ,那么,Y=Z。正确吗?为什么?

答:正确。

因为XY=XZ的对偶等式是X+Y=X+Z,又因为

Y= Y + XY=Y+XZ=(X+Y)(Y+Z) =(X+Y)(Y+Z) Z= Z + XZ=Z+XY=(X+Z)(Y+Z) =(X+Y)(Y+Z)

故Y=Z 。

⑶已知 X+Y=X+Z ,且 XY=XZ ,那么,Y=Z 。正确吗?为什么? 答:正确。

因为X+Y=X+Z ,且 XY=XZ ,所以

Y= Y + XY= Y + XZ=(X+Y)(Y+Z)=(X+Z)(Y+Z)=Z+XY=Z+XZ=Z ⑷已知 X+Y=XZ ,那么,Y=Z 。正确吗?为什么? 答:正确。

因为X+Y=XZ ,所以有相等的对偶式XY=X+Z 。 Y= Y + XY= Y +(X + Z )=X+Y+Z Z = Z +XZ =Z + ( X + Y ) =X+Y+Z 故Y=Z 。

2.6 用代数化简法化简下列函数:

⑴ B A B B A BCD B B A F +=+=++=

⑵ 1A A )B B (A )A 1(A B A AB B A A F =+=+++=?+++=

⑶ D B )C D B (A D B )D C D B (A D C A D B AD AB F ?+++=?+?++=?+?++= D B C A )D B (A ?+++=D B A D B C A A D B C A D B A ?+=?++=?++?= 2.7 将下列函数表示成“最小项之和”形式和“最大项之积”形式:

⑴ =)C ,B ,A (F C A B A +=∑m(0,4,5,6,7)= ∏M(1,2,3)(如下卡诺图1) ⑵ =)D ,C ,B ,A (F D C B BC D C AB B A ?+++=∑m(4,5,6,7,12,13,14,15)

= ∏M(0,1,2,3,8,9,10,11) (如下卡诺图2)

⑶ =)D ,C ,B ,A (F )D C B )(BC A (?++=∑m(0,1,2,3,4)

= ∏M(5,6,7,8,9,10,11,12,13,14,15) (如下卡诺图3)

2.8 用卡诺图化简下列函数,并写出最简“与-或”表达式和最简“或-与”表达式: ⑴ =)C ,B ,A (F )C AB )(B A (++=)B A (C C B C A +=+

⑵ =)D ,C ,B ,A (F C B AC D C A B A ++?+?=AC C B B A ++?或=C B C A AB +?+

=)C B A )(C B A (++++

⑶ =)D ,C ,B ,A (F )B AD )(C B (D D BC ++++=D B +=)D B (+

2.9 用卡诺图判断函数)D ,C ,B ,A (F 和)D ,C ,B ,A (G 有何关系。 =)D ,C ,B ,A (F

=D AC D C D A D B +?+?+? =)D ,C ,B ,A (G =ABD D C A CD D B +?++

可见,G F =

2.10 卡诺图如下图所示,回答下面两个问题:

⑴ 若a b =,当a 取何值时能得到取简的“与-或”表达式。

从以上两个卡诺图可以看出,当a =1时, 能得到取简的“与-或”表达式。

⑵ a 和b 各取何值时能得到取简的“与-或”表达式。

从以上两个卡诺图可以看出,当a =1和b =1时, 能得到取简的“与-或”表达式。

2.11 用卡诺图化简包含无关取小项的函数和多输出函数。 ⑴ =)D ,C ,B ,A (F ∑m(0,2,7,13,15)+ ∑d(1,3,4,5,6,8,10)

∴=)D ,C ,B ,A (F BD A + ⑵ ??

?

?

???==

=∑∑∑)

7,4,3,2(m )D ,C ,B ,A (F )10,8,7,6,5,2,1,0(m )D ,C ,B ,A (F )15,13,10,8,7,4,2,0(m )D ,C ,B ,A (F 321

∴??

?

?

???

+?+?=++?+?=+?++?=BCD A D C B A C B A )D ,C ,B ,A (F BCD A D C A D C A D B )D ,C ,B ,A (F BCD A D C B A ABD D B )D ,C ,B ,A (F 321 习题三

3.1 将下列函数简化,并用“与非”门和“或非”门画出逻辑电路。

⑴=)C ,B ,A (F ∑m(0,2,3,7)= BC C A +?=BC C A ??

=∴+=F C B C A F C B C A +++

⑵=)C ,B ,A (F ∏M(3,6)= ∑m(0,1,2,4,5,7)= AC C A B +?+=AC C A B ???

=C B A C B A +++++

⑶=)D ,C ,B ,A (F C B C A D C A B A +++=C B C A B A ++=C A C B B A ??

=C B A C B A +++++

⑷=)D ,C ,B ,A (F CD B C A B A ++?=CD C A B A ++?=CD C A B A ???

=D A C A C B +++++

3.2 将下列函数简化,并用“与或非”门画出逻辑电路。

⑴ =)C ,B ,A (F C )B A B A (AB ++=C B C A B A ?+?+?

⑵ =)D ,C ,B ,A (F ∑m(1,2,6,7,8,9,10,13,14,15)= D C B D C A CD B C B A ?+??++

3.3 分析下图3.48所示逻辑电路图,并求出简化逻辑电路。

解:如上图所示,在各个门的输出端标上输出函数符号。则

,

C B BC )C B )(C B (Z Z Z ,

C B Z ,

C B Z 21321?+=++==+=+=,C A C B BC Z Z Z ,C B C B A Z A Z ,C B C B Z Z ,C A Z +?+=+=++=+=+===43756354

C B A C B A A B C )C A C B BC )(C B C B A (Z Z F 76?+?+=+?+++=?=

=A (B ⊙C )+C (A ⊙B )

真值表和简化逻辑电路图如下,逻辑功能为:依照输入变量ABC 的顺序,若A 或C 为1,其余两个信号相同,则电路输出为1,否则输出为0。

3.4 当输入变量取何值时,图3.49中各逻辑电路图等效。

解: .B A B A F ,

B A F ,

B A F 321+===

∴当A 和B 的取值相同(即都取0或1)时,这三个逻辑电路图等效。

3-5:(1)两个一位二进制数的全减器,产生差F 与借位G ;

(2)两个一位二进制数的全加器,产生和F 与进位G ; 3-6:B A F B A F B A F ⊕===321;;

A =

B 时,F 1、F 2、F 3等效;

3.7 假定AB X =代表一个两位二进制正整数,用“与非”门设计满足如下要求的逻辑电路:

⑴ 2

X Y =;(Y 也用二进制数表示)

因为一个两位二进制正整数的平方的二进制数最多有四位,故输入端用A 、B 两个变量,输出端用Y 3、Y 2、Y 1、Y 0四个变量。

⑴真值表: ⑵真值表:

∴Y 3=AB ,Y 2=B A ,Y 1=0,Y 0=B A + AB =B,逻辑电路为:

⑵3

X Y =,(Y 也用二进制数表示)

因为一个两位二进制正整数的立方的二进制数最多有五位,故输入端用A 、B 两个变量,输出端用Y 4、Y 3、Y 2、Y 1、Y 0五个变量。可列出真值表⑵

∴Y 4=AB ,Y 3=A AB B A =+,Y 2=0,Y 1= AB ,Y 0=B A + AB =B,逻辑电路如上图。

3-8:X C X C Y 01+=(提示:将X 看作输入量,列出真值表,画出卡诺图化简,画出逻辑电路图)

3.9 设计一个一位十进制数(8421BCD码)乘以5的组合逻辑电路,电路的输出为十进制数(8421BCD码)。实现该逻辑功能的逻辑电路图是否不需要任何逻辑门?

解:因为一个一位十进制数(8421BCD码)乘以5所得的的十进制数(8421BCD码)最多有八位,故输入端用A、B、C、D四个变量,输出端用Y7、Y6、Y5、Y4、Y3、Y2、Y1、Y0八个变量。

真值表:

用卡诺图化简:Y7=0,Y6=A,Y5=B,Y4=C,Y3=0,Y2=D ,Y1=0,Y0=D。

逻辑电路如下图所示,在化简时由于利用了无关项,本逻辑电路不需要任何逻辑门。

3.10 设计一个能接收两位二进制Y=y 1y 0,X=x 1x 0,并有输出Z=z 1z 2的逻辑电路,当Y=X 时,Z=11,当Y>X 时,Z=10,当Y

用卡诺图化简:z 1=010100y y x y x y +++01010101x x y y x x y y +???

z 2=010100x x y x y x +++01010101x x y y x x y y +???

∴转化为“与非与非”式为:

逻辑电路为:

3.11 设计一个检测电路,检测四位二进制码中1的个数是否为奇数,若为偶数个1,则输出为1,否则为0。

解:用A 、B 、C 、D 代表输入的四个二进制码,F 为输出变量,依题意可得真值表:

卡诺图不能化简:

D C B A D C B A ABCD D C AB D BC A D C B A CD B A D C B A F +++++++=

用“与非”门实现的逻辑电路为:

用异或门实现的电路为

3.12 判断下列函数是否存在冒险,并消除可能出现的冒险。 ⑴ BC CD A AB F ++=1

⑵ BC A ACD C AB D C A F +++=2 ⑶ )C A )(B A (F ++=3

解:⑴不存在冒险;

⑵存在冒险,消除冒险的办法是添加一冗余项BD ;

即: BD BC A ACD C AB D C A F ++++=2

⑶也存在冒险,消除冒险的办法也是添加一冗余因子项)C B (+ . 即: )C A )(B A (F ++=3)C B (+ .

3-13:D B A D C A D C B BD A C B A F ++++=,其中后面两项为增加的冗余项; “或非”形式:

D B A D C A D C B D B A C B A F ++++++++++++++=

习题四

4.2 图4.55所示为一个同步时序逻辑电路,试写出该电路的激励函数和输出函数表达式。

解:输出函数:

3121y y x x Z =;

111y x Y +=;212y x Y ⊕=;

激励函数:

3121y y x x Z T ==;

111y x Y J +==; 212y x Y K ⊕==; 111y x Y D +==。

4.3 已知状态表如表4.45所示,作出相应的状态图。 解:状态图为:

4.4 已知状态图如图4.56所示,作出相应的状态表。 解:相应的状态表为:

4.5 图4.57所示状态图表示一个同步时序逻辑电路处于其中某一个未知状态。为了确定这个初始状态,可加入一个输入序列,并观察输出序列。如果输入序列和相应的输出序列为00/0、01/1、00/0、10/0、11/1,试确定该同步时序电路的初始状态。

解:为分析问题的方便,下面写出状态表:

当输入序列和相应的输出序列为00/0时,A 、B 、C 、D 都符合条件,但当序列为01/1时要转为B 态或C 态,就排除了A 、D 态;下一个序列为00/0时,B 、C 保持原态,接着序列为10/0时,B 态转为A 态,C 态转为D 态,但当最后一个序列为11/1时,只有D 态才有可能输出1,这就排除了B 态。故确定该同步时序电路的初始状态为C 态。

即C (初态)→(00/0)→C →(01/1)→C →(00/0)→C →(10/0)→D →(11/1)→C

4.6 分析图4.58所示同步电路,作出状态图和状态表,并说明该电路的逻辑功能。

解:激励方程:

211Q Q J =;2121Q Q xQ K +=;22Q x J =;22Q K =; 输出方程: 2211Q Z ;Q Z ==。 ∴各触发器的状态方程为:

11111n 1Q K Q J Q +=+=1

212121Q Q Q xQ Q Q Q ++=21Q Q x ;

22221

n 2Q K Q J Q +=+=2222Q Q Q Q x +=0;

由图可见,该电路的逻辑功能为:在时钟脉冲作用下,输入任意序列x 均使电路返回00状态。

4.7 图4.59为一个串行加法器

逻辑框图,试作出其状态图和状态表。

解: 状态图和状态表为:

4.8 作1010序列检测器的状态图,已知输入、输出序列为输入:0 0 1 0 1 0 0 1 0 1 0 1 0 1 0 1 1 0 输出:0 0 0 0 0 1 0 0 0 0 1 0 1 0 1 0 0 0

解:1010序列检测器

的状态图如右。

4.9 设计一个代码检测器,电路串行输入余3码,当输入非法数字时电路输出为0,

否则输出为1,试作出状态图。

解:余3码的非法数字有六个,即0000,0001,0010,1101,1110,1111。 故其原始状态图为:

数字逻辑第五章课后习题答案

数字逻辑第五章课后习题答案 5-1、解:(1) 列出电路的激励函数和输出函数表达式: 1111J K CP CP ==??=? 22321,1J Q K CP Q ?==??=?? 323331 ,1 J Q Q K CP Q ?==?? =?? Q 1n+1); Q 2n+1); Q 3 n+1) (2) (4) 功能描述:由状态图可知,此电路为一带自启动能力的六进制计数器。 1 2 3 4 5 6 7 8 CP Q 1 Q 2 Q 3 时间图

5-2、解:表5.29所示为最小化状态表,根据状态分配原则,无“列”相邻(行相邻在脉冲异步时序电路中不适用。),在“输出”相邻中,应给AD、AC分配相邻代码。取A为逻辑0,如下卡诺图所示,状态赋值为:A=00,B=11;C=01;D=10。于是,二进制状态表 如下,根据D触发器的激励表可画出CP2、D2、CP1、D1、Z的卡诺图, 二进制状态表 状态编码 D触发器的激励表

5-3、解: 原始状态图 5-4、解:(1)写出电路的激励函数和输出函数表达式: Y 2=x 2+x 12x 1(2)作状态流程表: (3)作时间图:

设输入状态x2x1的变化序列为00 01 11 10 00 10 11 01.初始总态为(x2x1,y2y1)=(00,00). 从本题的状态流程表推演出总响应序列为 总态响应序列表 x2 x1 y2 y1 Z 时间图 (4)电路功能:当输入状态x2x1的变化序列为01 11 10 00时,电路输出高电平1,其余情况输出低电平0.因此,该电平异步时序电路为01 11 10 00序列检测器。 5-5、解: 时间图如下

数字逻辑设计试题中文+答案

2003数字逻辑考题 一 填空题 (每空1分,共15分) 1 [19]10=[ 11010 ]Gray (假设字长为5bit ) 2 若X=+1010,则[X]原=( 00001010 ),[-X]补=( 11110110 ),(假设字长为8bit ) 3 [26.125]10=[ 1A.2 ]16=[ 00100110.000100100101 ]8421BCD 4 65进制的同步计数器至少有( 7 )个计数输出端。 5 用移位寄存器产生11101000序列,至少需要( 3 )个触发器。 6 要使JK 触发器按'*Q Q =工作,则JK 触发器的激励方程应写为(1,1 );如果用D 触发器实现这一转换关系,则D 触发器的激励方程应写为( Q ’ )。 7 在最简状态分配中,若状态数为n ,则所需的最小状态变量数应为([log 2n] )。 8 有n 个逻辑变量A ,B ,C ….W ,若这n 个变量中含1的个数为奇数个,则这n 个变量相异或的结果应为( 1 )。 9 一个256x4bit 的ROM 最多能实现( 4 )个( 8 )输入的组合逻辑函数。 10 一个EPROM 有18条地址输入线,其内部存储单元有( 218 )个。 11 所示CMOS 电路如图Fig.1,其实现的逻辑函数为F=( A NAND B (AB)' ) (正逻辑)。 二 判断题 (每问2分,共10分) 1 ( T )计数模为2n 的扭环计数器所需的触发器为n 个。 2 ( F )若逻辑方程AB=AC 成立,则B=C 成立。 3 ( F )一个逻辑函数的全部最小项之积恒等于1。 4 ( T )CMOS 与非门的未用输入端应连在高电平上。 5 ( F )Mealy 型时序电路的输出只与当前的外部输入有关。 Fig.1 三 (16分) 1 化简下列函数(共6分,每题3分) 1) ()()∑=15,13,11,10,9,8,7,3,2,0,,,m D C B A F 2) ()()()∑∑+=14,5,3,013,12,10,8,6,1,,,d m D C B A F F +E D

数字逻辑考题及答案解析

数字逻辑试题1答案 一、填空:(每空1分,共20分) 1、(20.57)8 =( 10.BC )16 2、(63.25) 10= ( 111111.01 )2 3、(FF )16= ( 255 )10 4、[X]原=1.1101,真值X= -0.1101,[X]补 = 1.0011。 5、[X]反=0.1111,[X]补= 0.1111。 6、-9/16的补码为1.0111,反码为1.0110 。 7、已知葛莱码1000,其二进制码为1111, 已知十进制数为92,余三码为1100 0101 8、时序逻辑电路的输出不仅取决于当时的输入,还取决于电路的状态 。 9、逻辑代数的基本运算有三种,它们是_与_ 、_或__、_非_ 。 10、1⊕⊕=B A F ,其最小项之和形式为_ 。AB B A F += 11、RS 触发器的状态方程为_n n Q R S Q +=+1_,约束条件为0=SR 。 12、已知B A F ⊕=1、B A B A F +=2,则两式之间的逻辑关系相等。 13、将触发器的CP 时钟端不连接在一起的时序逻辑电路称之为_异_步时序逻辑电路 。 二、简答题(20分) 1、列出设计同步时序逻辑电路的步骤。(5分) 答:(1)、由实际问题列状态图 (2)、状态化简、编码 (3)、状态转换真值表、驱动表求驱动方程、输出方程 (4)、画逻辑图 (5)、检查自起动 2、化简)(B A B A ABC B A F +++=(5分) 答:0=F 3、分析以下电路,其中RCO 为进位输出。(5分) 答:7进制计数器。

4、下图为PLD 电路,在正确的位置添 * , 设计出B A F ⊕=函数。(5分) 5分 注:答案之一。 三、分析题(30分) 1、分析以下电路,说明电路功能。(10分) 解: ∑∑==) 7,4,2,1()7,6,5,3(m Y m X 2分 A B Ci X Y 0 0 0 0 0 0 0 1 0 1 0 1 0 0 1 0 1 1 1 0 1 0 0 0 1 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 8分

数字逻辑习题及答案.

数字逻辑习题及答案 一. 填空题 1.一个触发器有Q和Q两个互补的输出引脚,通常所说的触发器的输出端是指 Q ,所谓置位就是将输出端置成 1 电平,复位就是将输出端置成 0 电平。 2.我们可以用逻辑函数来表示逻辑关系,任何一个逻辑关系都可以表示为逻辑函数的与或表达式,也可表示为逻辑函数的或与表达式。 3.计数器和定时器的内部结构是一样的,当对不规则的事件脉冲计数时,称为计数器,当对周期性的规则脉冲计数时,称为定时器。 4.当我们在计算机键盘上按一个标为“3”的按键时,键盘向主机送出一个ASCII码,这个ASCII码的值为 33H 。 5.在5V供电的数字系统里,所谓的高电平并不是一定是5V,而是有一个电压范围,我们把这个电压范围称为高电平噪声容限;同样所谓的低电平并不是一定是0V,而也是有一个电压范围,我们把这个电压范围称为低电平噪声容限。 二. 选择题 1.在数字系统里,当某一线路作为总线使用,那么接到该总线的所有输出设备(或器件)必须具有 b 结构,否则会产生数据冲突。 a. 集电极开路; b. 三态门; c. 灌电流; d. 拉电流2.TTL集成电路采用的是 b 控制,其功率损耗比较大;而MOS 集成电路采用的是 a 控制,其功率损耗比较小。 a. 电压; b.电流; c. 灌电流; d. 拉电流 3.欲将二进制代码翻译成输出信号选用 b ,欲将输入信号编成二进制代码选用 a ,欲将数字系统中多条传输线上的不同数字信号按需要选择一个送到公共数据线上选用 c ,

欲实现两个相同位二进制数和低位进位数的相加运算选用 e 。 a. 编码器; b. 译码器; c. 多路选择器; d. 数值比较器; e. 加法器; f. 触发器; g. 计数器; h. 寄存器 4. 卡诺图上变量的取值顺序是采用 b 的形式,以便能够用几何 上的相邻关系表示逻辑上的相邻。 a. 二进制码; b. 循环码; c. ASCII 码; d. 十进制码 5. 根据最小项与最大项的性质,任意两个不同的最小项之积为 0 ,任意两个不同的最大项之和为 1 。 a. 不确定; b. 0 ; c. 1 三. 简答题 1.分别写出(或画出)JK 、D 、T 和T ’四个触发器的特征方程、真 值表和状态转换图。 2.请分别完成下面逻辑函数的化简。 1). )DE C B A (*)E D )(C B A (F ++++++= 答:原式)DE C B A (*)]E D ()C B A ([+++++++= )DE )C B A ((*))DE )C B A ((++++++=)) C B A ()C B A ((DE DE )C B A ()C B A (+++++++++++= DE = 2). )EH D B A )(B A )(C A )(C B A (F +++++++= 答:原式的对偶式为: ) H E (ABD AB AC C AB 'F ++++= ))H E (BD B C C B (A ++++=)] H E (BD B B C [A ++++==A A )'A ()''F (===∴原式 3.请分别说明A/D 与D/A 转换器的作用,说明它们的主要技术指标, 并进一步说明在什么情况下必须在A/D 转换器前加采样·保持电路。 答:A/D 与D/A 转换器分别能够将模拟量转换成数字量与数字量转换 成模拟量,通过这样的转换电路,能够将模拟系统和数字系统联

数字逻辑第一章课后答案

(1)(1011.10101)2 =(13.52)8=(0B.A8)16=(11.65625)10 (2)(1110.11001)2 =(16.62)8=(0E.C8)16=(14.78125)10 (3)(110110.111)2 =(66.7)8=(36.E )16=(54.875)10 (4)(10101.0011)2 =(25.14)8=(15.3)16=(21.1875)10 1-2 (1)(105.625)10 =(1101001.101)2=(69.A )16 (2)(27/64)10 =(0.011011)2=(0.6C )16 (3)(37.4)10 =(100101. 01100110)2=(25.66)16 (4)(42.375)10 =(101010. 011)2=(2A.6)16 (5)(62/128)10 =(0.0111110)2=(0.7C )16 (6)(9.46)10 =(1001. 01110101)2=(9.75)16 1-3 (1)(AB.7)16 =(10101011. 0111)2=(171.4375)10 (2)(3A.D )16 =(111010. 1101)2=(58.8125)10 (3)(5F.C8)16 =(1011111. 11001)2=(95.78125)10 (4)(2E.9)16 =(101110. 1001)2=(46.5625)10 1-4 (1)真值表 (2)真值表 逻辑函数表达式: 逻辑函数表达式: 1-5 (1)反函数: 对偶函数: (2)反函数: 对偶函数: (3)反函数: 对偶函数: (4)反函数: 对偶函数: AB BC F +++??=ABCD D C AB D C B A D C B A D BC A D C B A CD B A D C B A F +?++?++?+?+???=ABC C A B A A C B A F =?+=+?+=)()(A C B A F +?+=)('C B A C B A B A C B A B A F )()()()(⊕=??+?=?+?+=C B A B A F ?+?+=)()('))()(())((E D B C A C B A F ++?+??+=))()(()(B ++?+?++=))()(())(('E D B C A C B A F ++?+??+=) ()('D C A C B A C A F ++?+++?=D C A ??+?=)()(D C A C B A C A F ++?+++?=

数字逻辑课本习题答案

习题五 1. 简述时序逻辑电路与组合逻辑电路的主要区别。 解答 组合逻辑电路:若逻辑电路在任何时刻产生的稳定输出值仅仅取决于该时刻各输入值的组合,而与过去的输入值无关,则称为组合逻辑电路。组合电路具有如下特征: ①由逻辑门电路组成,不包含任何记忆元件; ②信号是单向传输的,不存在任何反馈回路。 时序逻辑电路:若逻辑电路在任何时刻产生的稳定输出信号不仅与电路该时刻的输入信号有关,还与电路过去的输入信号有关,则称为时序逻辑电路。时序逻辑电路具有如下特征: ○1电路由组合电路和存储电路组成,具有对过去输入进行记忆的功能; ○2电路中包含反馈回路,通过反馈使电路功能与“时序”相关; ○3电路的输出由电路当时的输入和状态(过去的输入)共同决定。 2. 作出与表1所示状态表对应的状态图。 表1 状态表 现态y2 y1 次态y2 ( n+1)y1(n+1) /输出Z x2x1=00x2x1=01x2x1=11x2x1=10 A B C D B/0 B/0 C/0 A/0 B/0 C/1 B/0 A/1 A/1 A/0 D/0 C/0 B/0 D/1 A/0 C/0

解答 根据表1所示状态表可作出对应的状态图如图1所示。 图1 3. 已知状态图如图2所示,输入序列为x=,设初始状态为A,求状态和输出响应序列。 图2 解答 状态响应序列:A A B C B B C B 输出响应序列:0 0 0 0 1 0 0 1

4. 分析图3所示逻辑电路。假定电路初始状态为“00”,说明该电路逻辑 功能 。 图 3 解答 ○1 根据电路图可写出输出函数和激励函数表达式为 x K x,J ,x K ,xy J y xy Z 111121 2===== ○2 根据输出函数、激励函数表达式和JK 触发器功能表可作出状态表如表2所示, 状态图如图4所示。 表2 图4 现态 y 2 y 1 次态 y 2( n+1)y 1(n+1)/输出Z x=0 x=1 00 01 10 11 00/0 00/0 00/0 00/0 01/0 11/0 11/0 11/1

数字逻辑电路(王秀敏主编)课后习题答案第二章

第1章 概述 检 测 题 一、填空题 1. 在时间和数值上都是连续变化的信号是_______信号;在时间和数值上是离散和量化 的信号是_______信号。 2. 表示逻辑函数常用的方法有4种,它们是_______,________,________,_______。 3. 正逻辑体制高电平用逻辑_____表示,低电平用逻辑_____表示。 4. 任何进位计数制,数值的表示都包含两个基本的要素:_______和_______。 5. 102816(9 6.75)( )( )( )=== 二、请完成下列题的进制转换 1.210(1011001)( )= 810(736.4)( )= 1610(34)( )F C = 2.112(30)( )= 102(16.6875)( ) = 3.28(1011101)( )= 28(1010010.11010)( )= 4.82(127.65)( )= 162(9.16)( ) A = 5.216(1110101100)( )= 216(1111.001)( ) =

三、选择题 1.在下列各数中,最小的数是( ) (a) 2(101001) (b) 8(52) (c) 16(2)B (d) 10(96) 2. 8421(100110000110)( )BCD 余3BCD (A)100110001001 (B)100110001000 (C)110010000110 (D)101100001100 四、简述题 1.为什么在数字系统中通常采用二进制/ 2.何为进位计数制? 何为码制? 何为正、负逻辑? 3.算术运算、逻辑运算和关系运算的区别? 检测题答案 一、填空题 1. 答案:模拟,数字 2. 答案:真值表,逻辑函数式,逻辑图,卡诺图。 3. 答案:1,0;0,1 4. 答案:基数,位数 5. 答案:1100000.11,140.6,60.0 二、请完成下列题的进制转换 1. 89; 478.5; 8012 2. 11110; 10000.1011 3. 135; 122.62 4. 1010111.110101; 10011010.00010110 5. 3AC ; F.2 三、选择题 1.答案:A 2. 答案:A 四、简述题 答案:略

广工EDA数字逻辑课后习题问题详解

习题答案 第1章 一、单选题 (1)B (2)C (3)B (4)C (5)D (6)B (7)C (8)D (9)C (10)C (11)D (12)D (13)A (14)D 二、判断题 (1)√ (2)√ (3)× (4)× (5)× (6)× (7)√ (8)× 三、填空题 (1)10000111.101、207.5、87.A (2)185.75 (3)1001 0100 (4)B A ?、B A +、B A B A +、AB B A + (5)C B A ABC C AB ++ (6)C A AD ? (7)B A B A + (8)2n (9)1 (10)1 四、综合题 (1) ① B A B A AD B B A AD DE B B A AD C A A C DE C B B D C A A C B DE C B B BD C A A Y +=++=++=++++=+++++=+++++=)1()()()()(

② B A B A B A D D B A B A A D B D B A B A B B A D B A D B A B A B A AB Y +=+++=++++=+++++=+++++=)1)(())(())()(())(( ③ D B C B A D C D B C B DE B B A C A D B D C C B DE B C B C A D BC A D B D C C B DE B A C B A AC DE B A D BC A C B A D C D B C B AC Y ++=+++++=+++++++=+++++++=+++++++=)1()1()()()( (2) ① BCD C B D B A B A D C B A Y ++++= 函数卡诺图如下: 化简结果为:BD D A D C Y ++= ② F(A,B,C,D)=Σm(0,2,4,5,6,7,8,10,12,14) 函数卡诺图如下: 化简结果为:D B A D C B A F +=),,,( ③ F(A,B,C,D)=Σm(1,2,6,7,10,11)+Σd(3,4,5,13,15)

数字逻辑试题及答案

期数字逻辑试题A 一、填空题(共15分,每空1分) 1、分别写出逻辑函数的五种表示方法()、()、()、()、()。2、数字逻辑电路的两大种类分别是()电路,()电路。 3、( )和( )是衡量A/D转换器D/A转换器性能优劣的主要指标。 4、消除竞争冒险的4种方法分别是()、()、()、()。 5、555定时器电路提供了一个复位电平为()和置位电平为()。 二、选择题(共10分,每题5分) 1、将(175)10十进制数转换为二进制数下列选择结果中正确的是()。 A、二进制数(11010111)2 B、二进制数(10110011)2 C、二进制数(10011010)2 D、二进制数(1010111 1)2 2、运用摩根定理变换Y=X Q Z 的表达式,下列选择中正确的是()。 A、Y=( X + Q + Z ) B、Y=(X + Q +Z) C、Y=(X + Q + Z) D、Y=( X + Q + Z ) 三、综合题(共20分,每题10分) 1、对如图电路分别写出E = 0 或E = 1 时,函数F 的逻辑表达式。 2、用图形法化简函数为最简与或式 F(A,B,C,D)=∑M(0,1,2,3,6,8)+∑D(10,11,12,13,14,15) 四、组合逻辑电路分析、设计题(共25分,1题10分,2题15分) 1、写出下图电路(电路由四个或非门组成)输出信号的逻辑表达式,并说明其功能。 F

2、用集成译码器74LS138实现下列组合逻辑函数 Z1=AB+AB+BC Z2=AB+BC+CA 30分,每题15分) 1、一个时序逻辑电路如下图所示(由两个D触发器组成),请画出其状态图。 CP 2、用JK触发器设计一个同步、上升沿触发的四进制计数器。状态图如下 /0 /0 /0 /1

数字逻辑习题答案_毛法尧_第二版

毛法尧第二版 习题一 1.1 把下列不同进制数写成按权展开式: ⑴(4517.239)10= 4×103+5×102+1×101+7×100+2×10-1+3×10-2+9×10-3 ⑵(10110.0101)2=1×24+0×23+1×22+1×21+0×20+0×2-1+1×2-2+0×2-3+1×2-4 ⑶(325.744)8=3×82+2×81+5×80+7×8-1+4×8-2+4×8-3 ⑷(785.4AF)16=7×162+8×161+5×160+4×16-1+A×16-2+F×16-3 1.2 完成下列二进制表达式的运算: 1.3 将下列二进制数转换成十进制数、八进制数和十六进制数: ⑴(1110101)2=(165)8=(75)16=7×16+5=(117)10 ⑵(0.110101)2=(0.65)8=(0.D4)16=13×16-1+4×16-2=(0.828125)10 ⑶(10111.01)2=(27.2)8=(17.4)16=1×16+7+4×16-1=(23.25)10 1.4 将下列十进制数转换成二进制数、八进制数和十六进制数,精确到小数点后5位: ⑴(29)10=(1D)16=(11101)2=(35)8 ⑵(0.207)10=(0.34FDF)16=(0.001101)2=(0.15176)8 ⑶(33.333)10=(21.553F7)16=(100001.010101)2=(41.25237)8

1.5 如何判断一个二进制正整数B=b6b5b4b3b2b1b0能否被(4)10整除? 解: 一个二进制正整数被(2)10除时,小数点向左移动一位, 被(4)10除时,小数点向左移动两位,能被整除时,应无余数,故当b1=0和b0=0时, 二进制正整数B=b6b5b4b3b2b1b0能否被(4)10整除. 1.6 写出下列各数的原码、反码和补码: ⑴0.1011 [0.1011]原=0.1011; [0.1011]反=0.1011; [0.1011]补=0.1011 ⑵0.0000 [0.000]原=0.0000; [0.0000]反=0.0000; [0.0000]补=0.0000 ⑶-10110 [-10110]原=110110; [-10110]反=101001; [-10110]补=101010 1.7 已知[N]补=1.0110,求[N]原,[N]反和N. 解:由[N]补=1.0110得: [N]反=[N]补-1=1.0101, [N]原=1.1010,N=-0.1010 1.8 用原码、反码和补码完成如下运算: ⑴0000101-0011010 [0000101-0011010]原=10010101; ∴0000101-0011010=-0010101。 [0000101-0011010]反=[0000101]反+[-0011010]反=00000101+11100101=11101010 ∴0000101-0011010=-0010101 [0000101-0011010]补=[0000101]补+[-0011010]补=00000101+11100110=11101011 ∴0000101-0011010=-0010101 ⑵0.010110-0.100110 [0.010110-0.100110]原=1.010000;

数字逻辑课后习题答案

习题五 5.1 分析图5.35所示的脉冲异步时序电路。 解:各触发器的激励方程和时钟方程为: 1K J 11==;1K ,Q J 232==;1K ,Q Q J 3323== CP CP 1=;132Q CP CP == ∴各触发器的状态方程为: 11n 1Q Q =+ (CP 的下降沿触发); 321n 2 Q Q Q =+ (Q 1的下降沿触发); 3 21 n 3Q Q Q =+ (Q 1的下降沿触发) 该电路是一能自启动的六进制计数器。 5.2 已知某脉冲异步时序电路的状态表如表5.29所示,试用D 触发器 和适当的逻辑门实现该状态表描述的逻辑功能。 解:表5.29所示为最小化状态表。根据状态分配原则,无“列”相邻 (行相邻在脉冲异步时序电路中不适用。),在“输出” 相邻中,应 给AD 、AC 分配相邻代码。取A 为逻辑0,如下卡诺图所示,状态赋 值为:A=00,B=11;C=01;D=10。于是,二进制状态表如下,根据 D 触发器的激励表可画出CP 2、D 2、CP 1、D 1、Z 的卡诺图,得到激励函数和输出函数,以及画出所设计的脉冲异步时序电路。

得激励方程和输出方程: 22x CP =; 32212x x Q x D ++=; 3221x x Q CP +=; 31211x Q x Q D +=; )Q Q (x Q x Q x Z 2 132313+=+=。 5.3 设计一个脉冲异步时序电路,该电路有三个输入端x 1、x 2和x 3,一个输出端Z 。仅当输入序列x 1-x 2-x 3出现时,输出Z 产输出脉冲,并且与输入序列的最后一个脉冲重叠。试作出该电路的原始状态图和状态表。 解:

《数字逻辑》考试答案

中国石油大学(北京)远程教育学院 《数字逻辑》期末复习题 一、单项选择题 1. TTL 门电路输入端悬空时,应视为( A ) A. 高电平 B. 低电平 C. 不定 D. 高阻 2. 最小项D C B A 的逻辑相邻项是( D ) A .ABCD B .D B C A C .C D AB D .BCD A 3. 全加器中向高位的进位1+i C 为( D ) A. i i i C B A ⊕⊕ B.i i i i i C B A B A )(⊕+ C.i i i C B A ++ D.i i i B C A )(⊕ 4. 一片十六选一数据选择器,它应有( A )位地址输入变量 A. 4 B. 5 C. 10 D. 16 5. 欲对78个信息以二进制代码表示,则最少需要( B )位二进制码 A. 4 B. 7 C. 78 D. 10 6. 十进制数25用8421BCD 码表示为(B ) 101 0101

7. 常用的BCD码有(C ) A:奇偶校验码B:格雷码C:8421码 D:ASCII码 8. 已知Y A AB AB =++,下列结果中正确的是(C) A:Y=A B:Y=B C:Y=A+B D: Y A B =+ 9. 下列说法不正确的是( D) A:同一个逻辑函数的不同描述方法之间可相互转换 B:任何一个逻辑函数都可以化成最小项之和的标准形式 C:具有逻辑相邻性的两个最小项都可以合并为一项 D:任一逻辑函数的最简与或式形式是唯一的 10. 逻辑函数的真值表如下表所示,其最简与或式是(C ) A: ABC ABC ABC ++

B: ABC ABC ABC ++ C: BC AB + D: BC AC + 11.以下不是逻辑代数重要规则的是( D ) 。 A. 代入规则 B. 反演规则 C. 对偶规则 D. 加法规则 12.已知函数E)D (C B A F +?+=的反函数应该是( A ) 。 A. [])E (D C B A F +?+?= B. [])E D (C B A F +?+?= C. [])E (D C B A F +?+?= D. [] )E D (C B A F +?+?= 13.组合逻辑电路一般由( A )组合而成。 A 、门电路 B 、触发器 C 、计数器 D 、寄存器 14.求一个逻辑函数F 的对偶式,可将F 中的( A )。 A 、“·”换成“+”,“+”换成“·”,常数中的“0”“1”互换 B 、原变量换成反变量,反变量换成原变量 C 、变量不变 D 、常数中的“0”换成“1”,“1”换成“0” 15.逻辑函数()()()()=++++=E A D A C A B A F ( A ) 。 A. AB+AC+AD+AE B. A+BCED

2013数字逻辑期末试卷试题及答案

一、填空题 (20分,每空2分) 1. (2010)D =( )B = ( )H = ( )8421BCD 2. 仓库门上装了两把暗锁,A 、B 两位保管员各管一把锁的钥匙,必须二人同时开锁才能进库。这种逻辑关系为 。 3. 逻辑函数式F=AB+AC 的对偶式为 ,最小项表达式为∑=m F ( )。 2.逻辑函数D AC CD A C AB D C ABD ABC F ''''''+++++=的最简与或式是 。 4. 从结构上看,时序逻辑电路的基本单元是 。 5. JK 触发器特征方程为 。 二、选择题 (20分,每题2分) 1. 计算机键盘上有101个键,若用二进制代码进行编码,至少应为( )位。 A) 6 B) 7 C) 8 D) 51 2. 在函数F=AB+CD 的真值表中,F=1的状态有( )个。 A) 2 B) 4 C) 6 D) 7 4. 图1所示逻辑电路为( )。 A) “与非”门 B) “与”门 C)“或”门 D) “或非”门 图1 +U CC +U CC -U BB R R K R C R B A B C F

5. 在下列逻辑部件中,属于组合逻辑电路的是()。 A) 计数器B) 数据选择器C) 寄存器D) 触发器 6. 已知某触发器的时钟CP,异步置0端为R D,异步置1端为S D,控制输入端V i和输出Q的波形如图2所示,根据波形可判断这个触发器是()。 图2 A) 上升沿D触发器B) 下降沿D触发器 C) 下降沿T触发器D) 上升沿T触发器 7. 寄存器要存放n位二进制数码时,需要( )个触发器。 A) n B) n log C) n2D) n/2 2 8. 下面哪种不是施密特触发器的应用:( ) A) 稳定频率脉冲输出B) 波形变换C) 脉冲整形D) 脉冲鉴幅 9. 下列哪个不能用555电路构成:( ) A)施密特触发器B)单稳态触发器C)多谐振荡器D)晶体振荡器 三、简答题(15分) 1.用公式法化简逻辑函数:Y=A'BC +(A+B')C (7分)

2020秋西安电子科技大学《数字逻辑电路》大作业期末试题及答案

学习中心/函授站_ 姓名学号 西安电子科技大学网络与继续教育学院 2020 学年下学期 《数字逻辑电路》期末考试试题 (综合大作业) 题号一二三四总分 题分30 10 30 30 得分 考试说明: 1、大作业试题于2020 年10 月15 日公布: (1)毕业班学生于2020 年10 月15 日至2020 年11 月1 日在线上传大作业答卷; (2)非毕业班学生于2020 年10 月22 日至2020 年11 月8 日在线上传大作业答卷; (3)上传时一张图片对应一张A4 纸答题纸,要求拍照清晰、上传完整; 2、考试必须独立完成,如发现抄袭、雷同均按零分计; 3、答案须用《西安电子科技大学网络与继续教育学院标准答题纸》手写 完成,要求字迹工整、卷面干净。 一、单项选择题(每小题2 分,共40 分) 1、下列各数中与十进制数101 不相等的数是( D )。 A.(0100 0011 0100)余3BCD B.(141)8C.(1100101)2D.(66)16 2、八进制数(35)8的8421BCD 是( B )。 A.0011 1000B.0010 1001C.0011 0101D.0010 1100 3、为使与非门输出为1 则输入( A )。 A.只要有0 即可B.必须全为0C.必须全为1D.只要有1 即可 4、函数F AC BC AB与其相等的表达式是( B )。 A.BC B.C+AB C.AC AB D.AB

5、使函数F AB AC BC 等于 0 的输入 ABC 的组合是( C )。 A .ABC=111 B .ABC=110 C .ABC=100 D .ABC=011 6、四变量的最小项ABCD 的逻辑相邻项是( A )。 A .ABCD B .ABCD C .ABC D D .ABCD 7、函数F ABC B .C (A D )BC 的对偶式是( C )。 A . G (A B C )(B C )(AD B C ) B .G A B C (B C )AD B C C .G A B C (B C )(A D B C ) D .G A B C (B C )A D B C 8、F A B C ADE BDE ABC 的最简式为( A )。 A .1 B .ABC DE C .ABC D .A+BDE+CDE 9、 函数F AC BC AB 的最简与非式为( D )。 10、 函数F A B C A .B .D AC ACD AB .D 的最简与或式为 ( D )。 A .F BC AD B .F B C AD C .F AB AC BD D .F AB AC BD 11、 函数F (ABCD ) (0,1,3,4,5,7,12),约束条件为BCD ABD 0 ,其 最简与或非式是( C )。 A .F A .C AD BD B .F CD AB AD A . B . C . D . B A B A C B C B B A . C A B A . . A B C A

数字逻辑题目及答案

1.表示任意两位无符号十进制数至少需要()二进制数。 A.6 B.7 C.8 D.9 2.余3码对应的2421码为()。 A. B. C. D. 3.下列四个数中与十进制数(72)10相等的是( ) A.()2 B.()2 C.()2 D.()2 4.某集成电路芯片,查手册知其最大输出低电平UOLmax=0.5V,最大输入低电平UILmax=0.8V,最小输出高电平UOHmin=2.7V,最小输入高电平UIHmin=2.0V,则其高电平噪声容限UNH=( ) A.0.3V B.0.6V C.0.7V D.1.2V 5.标准或-与式是由()构成的逻辑表达式。 A.与项相或 B. 最小项相或 C. 最大项相与 D.或项相与 6、对于TTL或非门多余输入端的处理,不可以()。 A、接电源 B、通过0.5kΩ电阻接地 C、接地 D、与有用输入端并联 7.下列四种类型的逻辑门中,可以用()实现三种基本逻辑运算。 A. 与门 B. 或门 C. 非门 D. 与非门 8.以下电路中可以实现线与功能的有()。 A.与非门 B.三态输出门 C.传输门 D.漏极开路门 9.要使JK触发器在时钟作用下的次态与现态相反,JK端取值应为()。

A.JK=00 B. JK=01 C. JK=10 D. JK=11 10.设计一个四位二进制码的奇偶校验器,需要()个异或门。A.2 B. 3 C. 4 D. 5 11.相邻两组编码只有一位不同的编码是( ) A.2421BCD码 B.8421BCD码 C.余3码 D.循环码 12.下列电路中,不属于时序逻辑电路的是( ) A.计数器 B.全加器 C.寄存器 D.RAM 13.一个4位移位寄存器,现态为0111,经右移1位后其次态为( ) A.0011或1011 B.1101或1110 C.1011或1110 D.0011或1111 14.为了将正弦信号转换成与之频率相同的脉冲信号,可采用( ) A.多谐振荡器 B.移位寄存器 C.单稳态触发器 D.施密特触发器 15.一个6位地址码、8位输出的ROM,其存储矩阵的容量为( )bit. A.64×8 B.48 C.256 D.8 16.某8位DAC,当输入全为1时,输出电压为5.10V,当输入D=()2时,输出电压为( ) A.5.10V B.2.56V C.1.28V D.都不是 17.PROM是一种__________可编程逻辑器件。( ) A.与阵列可编程、或阵列固定的

《数字逻辑与电路》复习题及答案

《数字逻辑与电路》复习题 第一章数字逻辑基础(数制与编码) 一、选择题 1.以下代码中为无权码的为CD。 A. 8421BCD码 B. 5421BCD码 C.余三码 D.格雷码 2.以下代码中为恒权码的为AB 。 A.8421BCD码 B. 5421BCD码 C. 余三码 D. 格雷码 3.一位十六进制数可以用 C 位二进制数来表示。 A. 1 B. 2 C. 4 D. 16 4.十进制数25用8421BCD码表示为 B 。 A.10 101 B.0010 0101 C.100101 D.10101 5.在一个8位的存储单元中,能够存储的最大无符号整数是CD 。 A.(256)10 B.(127)10 C.(FF)16 D.(255)10 6.与十进制数(53.5)10等值的数或代码为ABCD 。 A. (0101 0011.0101)8421BCD B.(35.8)16 C.(110101.1)2 D.(65.4)8 7.与八进制数(47.3)8等值的数为:A B。 A.(100111.011)2 B.(27.6)16 C.(27.3 )16 D. (100111.11)2 8.常用的BC D码有C D 。 A.奇偶校验码 B.格雷码 C.8421码 D.余三码 二、判断题(正确打√,错误的打×) 1. 方波的占空比为0.5。(√) 2. 8421码1001比0001大。(×) 3. 数字电路中用“1”和“0”分别表示两种状态,二者无大小之分。(√) 4.格雷码具有任何相邻码只有一位码元不同的特性。(√) 5.八进制数(17)8比十进制数(17)10小。(√) 6.当传送十进制数5时,在8421奇校验码的校验位上值应为1。(√) 7.十进制数(9)10比十六进制数(9)16小。(×) 8.当8421奇校验码在传送十进制数(8)10时,在校验位上出现了1时,表明在传送过程中出现了错误。(√) 三、填空题

专科《数字逻辑》复习题库及答案

专科《数字逻辑》复习题库及答案 一、选择题 1. 和二进制数(1100110111.001)等值的十六进制数学是( )。 A.337.2 B.637.2 C.1467.1 D.c37.4 2. 是8421BCD 码的是( ) A.1010 B.0101 C.1100 D.1111 3. 和二进制码1100对应的格雷码是( ) A.0011 B.1100 C.1010 D.0101 4. 和逻辑式ABC A +__ 相等的式子是( ) A.ABC B.1+BC C.A D.BC A +___ 5. 若干个具有三态输出的电路输出端接到一点工作时,必须保证( ) A.任何时候最多只能有一个电路处于三态,其余应处于工作态。 B.任何时候最多只能有一个电路处于工作态,其余应处于三态。 C.任何时候至少要有两个或三个以上电路处于工作态。 D.以上说法都不正确。 6. A+B+C+__ A +A __ B =( ) A.A B.__ A C.1 D.A+B+C 7. 下列等式不成立的是( ) A. B A B A A +=+__ B.(A+B)(A+C)=A+BC C.AB+AC+BC=AB+BC D.1__ ______=+++B A AB B A B A 8. ) (F ,)6,5,4,3,2,1,0(C)B ,,F(A ==∑ 则m A.ABC B.A+B+C C.__ ____C B A ++ D. __ ____C B A 9. 欲对全班53个同学以二进制代码编码表示,最少需要二进制的位数是( ) A.5 B.6 C.10 D.53 10. 一块数据选择器有三个地址输入端,则它的数据输入端应有( )。 A.3 B.6 C.8 D.1 11. 或非门构成的基本RS 触发器,输入端SR 的约束条件是( ) A.SR=0 B.SR=1 C.1____=+R S D.0__ __=+R S 12. 在同步方式下,JK 触发器的现态Q n = 0,要使Q n+1 = 1,则应使( )。 A.J=K=0 B.J=0,K=1 C.J=1,K=X D.J=0,K=X 13. 一个T 触发器,在T=1时,来一个时钟脉冲后,则触发器( )。 A.保持原态 B.置0 C.置1 D.翻转 14. 在CP 作用下,欲使D 触发器具有Q n+1 =__n Q 的功能,其D 端应接( ) A.1 B.0 C.n Q D.__ n Q 15. 一片四位二进制译码器,它的输出函数有( ) A.1个 B.8个 C.10个 D.16个 16. 比较两个两位二进制数A=A 1A 0和B=B 1B 0,当A>B 时输出F=1,则F 的表达式是( )。 A. __ 11B A F = B.__01__01B B A A F ++= C.__ 00_________11__ 11B A B A B A F ⊕+= D. __00__11B A B A F ++= 17. 相同计数模的异步计数器和同步计数器相比,一般情况下( ) A.驱动方程简单 B.使用触发器的个数少 C.工作速度快 D.以上说法都不对 18. 测得某逻辑门输入A 、B 和输出F 的波形如下图,则F(A ,B)的表达式是( ) A.F=AB B.F=A+B C.B A F ⊕= D.__ B A F =

数字逻辑(第二版)毛法尧课后题答案(1-6章)

习题一 1.1 把下列不同进制数写成按权展开式: ⑴(4517.239)10= 4×103+5×102+1×101+7×100+2×10-1+3×10-2+9×10-3 ⑵(10110.0101)2=1×24+0×23+1×22+1×21+0×20+0×2-1+1×2-2+0×2-3+1×2-4 ⑶(325.744)8=3×82+2×81+5×80+7×8-1+4×8-2+4×8-3 ⑷(785.4AF)16=7×162+8×161+5×160+4×16-1+A×16-2+F×16-3 1.2 完成下列二进制表达式的运算: 1.3 将下列二进制数转换成十进制数、八进制数和十六进制数: ⑴(1110101)2=(165)8=(75)16=7×16+5=(117)10 ⑵(0.110101)2=(0.65)8=(0.D4)16=13×16-1+4×16-2=(0.828125)10 ⑶(10111.01)2=(27.2)8=(17.4)16=1×16+7+4×16-1=(23.25)10 1.4 将下列十进制数转换成二进制数、八进制数和十六进制数,精确到小数点后5位: ⑴(29)10=(1D)16=(11101)2=(35)8 ⑵(0.207)10=(0.34FDF)16=(0.001101)2=(0.15176)8 ⑶(33.333)10=(21.553F7)16=(100001.010101)2=(41.25237)8

1.5 如何判断一个二进制正整数B=b6b5b4b3b2b1b0能否被(4)10整除? 解: 一个二进制正整数被(2)10除时,小数点向左移动一位, 被(4)10除时,小数点向左移动两位,能被整除时,应无余数,故当b1=0和b0=0时, 二进制正整数B=b6b5b4b3b2b1b0能否被(4)10整除. 1.6 写出下列各数的原码、反码和补码: ⑴0.1011 [0.1011]原=0.1011; [0.1011]反=0.1011; [0.1011]补=0.1011 ⑵0.0000 [0.000]原=0.0000; [0.0000]反=0.0000; [0.0000]补=0.0000 ⑶-10110 [-10110]原=110110; [-10110]反=101001; [-10110]补=101010 1.7 已知[N]补=1.0110,求[N]原,[N]反和N. 解:由[N]补=1.0110得: [N]反=[N]补-1=1.0101, [N]原=1.1010,N=-0.1010 1.8 用原码、反码和补码完成如下运算: ⑴0000101-0011010 [0000101-0011010]原=10010101; ∴0000101-0011010=-0010101。 [0000101-0011010]反=[0000101]反+[-0011010]反=00000101+11100101=11101010 ∴0000101-0011010=-0010101 [0000101-0011010]补=[0000101]补+[-0011010]补=00000101+11100110=11101011 ∴0000101-0011010=-0010101 ⑵0.010110-0.100110 [0.010110-0.100110]原=1.010000; ∴0.010110-0.100110=-0.010000。 [0.010110-0.100110]反=[0.010110]反+[-0.100110]反=0.010110+1.011001=1.101111

相关主题
相关文档 最新文档