当前位置:文档之家› 数字电路基础知识

数字电路基础知识

数字电路基础知识
数字电路基础知识

第11章数字电路基础知识

教学重点:

1.掌握与门、或门、非门的逻辑功能及逻辑符号。

2.了解与或非门、同或门、异或门、OC门与三态门等复合门的逻辑功能和逻辑符号。3.掌握基本逻辑运算、逻辑函数的表示方法。

4.掌握逻辑代数的基本公式;熟练应用公式化简逻辑函数。

教学难点:

1.各种逻辑关系的含义。

2.用公式化简逻辑函数。

3.根据函数表达式画出逻辑图。

学时分配:

11.1数字电路概述

11.1.1 数字电路及其特点

电子线路中的电信号有两大类:模拟信号和数字信号。

1.概念

模拟信号:在数值上和时间上都是连续变化的信号。

数字信号:在数值上和时间上不连续变化的信号。

模拟电路:处理模拟信号的电路。

数字电路:处理数字信号的电路。

2.数字电路特点

(1) 电路中工作的半导体管多数工作在开关状态。

(2) 研究对象是电路的输入与输出之间的逻辑关系,分析工具是逻辑代数,表达电路的功能主要用真值表,逻辑函数表达式及波形图等。

11.1.2 数字电路的发展和应用

数字电路的发展:与器件的改进密切相关,集成电路的出现促进了数字电路的发展。 数字电路的应用:范围广泛,国民经济许多部门中都将大量应用数字电路。

11.2 基本逻辑门电路

各种逻辑门电路是组成数字电路的基本单元。

11.2.1 关于逻辑电路的几个规定

一、逻辑状态的表示方法

用数字符号0和1表示相互对立的逻辑状态,称为逻辑0和逻辑1。

表11.2.1 常见的对立逻辑状态示例

二、高、低电平规定

用高电平、低电平来描述电位的高低。

高低电平不是一个固定值,而是一个电平变化范围,如图11.2.1(a)所示。 单位用“V ”表示。

在集成逻辑门电路中规定 ——

标准高电平V SH —— 高电平的下限值; 标准低电平V SL —— 低电平的上限值。

应用时,高电平应大于或等于V SH ;低电平应小于或等于V SL 。

三、正、负逻辑规定

正逻辑:用1表示高电平,用0表示低电平的逻辑体制。 负逻辑:用1表示低电平,用0表示高电平的逻辑体制。

11.2.2 与门电路

基本的逻辑关系:与逻辑、或逻辑和非逻辑。 一、与逻辑 1.与逻辑关系

与逻辑关系如图11.2.2所示。当决定一件事情的几个条件全部具备后,这件事情才能发生,否则不发生。

图11.2.1 正逻辑和负逻辑

图11.2.2 用串联开关说明与逻辑关系图11.2.3 与门电路

2.与门电路,如图11.2.3(a)所示。

A、B ? ?输入端;Y—— 输出端。

3.逻辑符号,如图11.2.3(b)所示。

二、工作原理

1.工作原理

动画与门电路

2.逻辑函数式

Y = A ?B

或Y = A ? B

或Y = AB(11.2.1) 3.真值表

真值表 —— 表明逻辑门电路输入端状态和输出端状态逻辑对应关系的表。

表11.2.2 与门真值表

4.逻辑功能

如图11.2.4所示,与门逻辑功能为:“有0出0,全1

出1”。即

Y = ABCD

说明:输入端不论是几个,逻辑关系相同。

11.2.3或门电路

一、或逻辑

1.或逻辑关系

或逻辑关系如图11.2.5所示。当决定一件事情的几个条件中只要有一个条件得到满足,这件事情就会发生。

图11.2.4 四输入端与门

2.或门电路

或门电路如图11.2.6(a)所示。

3.逻辑符号

或门的逻辑符号如图11.2.6(b)所示。

图11.2.5 用并联开关说明或逻辑关系图11.2.6 或门电路

二、工作原理

1.工作原理

V A = 0 V,V B = 0 V,V1 、V2均截止,Y = -12 V;

V A = 6 V,V B = 0 V,V1导通,V2截止,Y = 6 V;

V A = 0 V,V B = 6 V,V1截止,V2导通,Y = 6 V;

V A = 6 V,V B = 6 V,V1、V2均导通,Y = 6 V。

2.逻辑函数式

Y = A + B(11.2.2) 3.真值表

表11.2.3 或门真值表

4.逻辑功能

达式为

11.2.7所示。

11.2.4

一、非逻辑关系

1.非逻辑关系

图11.2.7 四输入端或门

非逻辑关系:事情和条件总是相反状态。 2.非门电路

非门电路如图11.2.8(a )所示。 3.逻辑符号

非门逻辑符号如图11.2.8(b )所示。 二、工作原理 1.工作原理

V A = 6 V ,V 导通,Y = 0; V A = 0 V ,V 截止,Y = V G 。 2.逻辑函数式为

A Y = (11.2.3)

3.真值表

表 11.2.4 非门真值表

4.逻辑功能:有0出1,有1出0。

11.3 组合逻辑门电路

实用中常把与门、或门和非门组合起来使用。

11.3.1 几种常见的简单组合门电路

一、与非门 1.电路组成

在与门后面接一个非门,就构成了与非门,如图11.3.1所示。

2.逻辑符号

在与门输出端加上一个小圆圈,就构成了与非门的逻辑符号。

3.函数表达示式 与非门的函数逻辑式为

B A Y ?=

(11.3.1)

4.真值表

表11.3.1给出了与非门的真值表。

图11.2.8 非门电路

图11.3.1 与非门

与非门的逻辑功能为“全1出0,有0出1”。

表11.3.1 与非门真值表

二、或非门 1.电路组成

在或门后面接一个非门就构成了或非门,如图11.3.2所示。

2.逻辑符号

在或门输出端加一小圆 圈就变成了或非门的逻辑符号。

3.逻辑函数式 或非门逻辑函数式为

B A Y += (11.3.2) 4.真值表

表11.3.2给出了或非门的真值表。

表11.3.2 或非门真值表

5.逻辑功能

或非门的逻辑功能为“全0出1,有1出0”。 三、与或非门 1.电路组成

把两个(或两个以上)与门的输出端接到一个或非门的各个输入端,就构成了与或非门。与或非门的电路如图11.3.3(a )所示。

图11.3.2 或非门

图11.3.3 与或非门

与或非门的逻辑符号如图11.3.3(b)所示。

3.逻辑函数式

与或非门的逻辑函数式为

= (11.3.3)

Y+

CD

AB

4.真值表

表11.3.3给出了与或非门真值表。

表11.3.3 与或非门真值表

5.逻辑功能

与或非门的逻辑功能为:当输入端中任何一组全为1时,输出即为0;只有各组输入都至少有一个为0时,输出才为1。

四、异或门

1.电路组成

异或门的电路如图11.3.4(a)所示。

2.逻辑符号

异或门的逻辑符号如图11.3.4(b)所示。

图11.3.4 异或门

3.逻辑函数式

异或门的逻辑函数式为

=(11.3.4)

Y+

A

A

B

B

上式通常也写成

Y = A ⊕ B(11.3.5)

4.真值表

表11.3.4给出了异或门真值表。

表11.3.4 异或门真值表

5.逻辑功能:当两个输入端的状态相同(都为0或都为1)时输出为0;反之,当两个输入端状态不同(一个为0,另一个为1)时,输出端为1。

6.应用:判断两个输入信号是否不同。 五、同或门 1.电路组成

在异或门的基础上,最后加上一个非门就构成了同或门,如图11.3.5(a )所示。

2.逻辑符号

同或门逻辑符号如图11.3.5(b )所示。 3.逻辑函数式 同或门逻辑函数式为

B A AB Y += (11.3.6) 同或门逻辑函数式通常也写成

Y = A ⊙ B (11.3.7)

4.真值表

表11.3.5给出了同或门的真值表。

表11.3.5 同或门真值表

5.逻辑功能:当两个输入端的状态相同(都为0

或都为1)时输出为1;反之,当两个输入端状态不同(一个为0,另一个为1)时,输出端为0。

6.应用:判断两个输入信号是否相同。 六、三态门

三态门:是在门电路上加一个使能端,输出状态有:高电平、低电平和高阻状态。 三态门的逻辑符号如图11.3.6(a )所示。

图11.3.5 同或门

EN :使能端,控制输出状态。

逻辑功能:EN = 1时,三态门呈高阻状态;EN = 0

时,门电路恢复反相器常态,

即Y =A 。

用途:实现数据传输的控制。如图11.3.6(b )所示。 EN 1 = 0,EN 2 = 1,EN 3 = 1时,Y 2、Y 3呈高阻

态,Y 1送数据A 1到总线;

EN 1 = 1,EN 2 = 0,EN 3 = 1时,Y 1、Y 3呈高阻

态,Y 2送数据A 2到总线;

EN 1 = 1,EN 2 = 1,EN 3 = 0时,Y 1、Y 2呈高阻

态,Y 3送数据A 3到总线。

七、OC 门 OC 门:输出晶体管集电极开路的TTL “与非门”电

路。

OC 门的逻辑符号:如图11.3.7所示。 逻辑功能:OC 门同与非门一样; 作用:作为计算机的母线驱动器; 注意:使用时要外接负载电阻。

11.3.2 组合逻辑门电路功能特点和数字集成电路简介

一、组合逻辑门电路功能特点

1.任何时刻的输出状态直接由当时的输入状态决定; 2.电路没有记忆功能。 二、数字集成电路简介 1.分类

① 晶体三极管型数字集成电路(简称TTL 电路); ② 场效应管数字集成电路(简称MOS 电路)。 2.主要产品系列

数字集成电路的主要产品系列参见表11.3.6。

表11.3.6 数字集成电路的主要产品系列

图11.3.6 三态门逻辑符号及其应用图

图11.3.7 OC 门电路逻辑符号

3.数字集成电路外形举例

数字集成电路目前大量采用双列直插式外形封装。如图11.3.8、11.3.9所示。

管脚的编号判读方法:把标志(凹口)置于左方,逆时针自下而上依次读出外引线编号。数字集成电路主要参数有:

图11.3.8 74LS00外引线排列图图11.3.9 CC4011外引线排列图

①输出高电平V OH和输出低电平V OL。

②输入高电平V IH和输入低电平V IL,有时把这两个值的

中间值称为输入的阈值电压V IT。

③输出高电平电流I OH和输出低电平电流I OL。

④传输延时t PHL和t PLH它们的平均值称为平均传输延迟时

间t pd。

⑤扇出系数N:与非门输出端能驱动同类门的数目。

[例11.3.1]已知某逻辑电路的输入、输出相应波形如图

11.3.12所示,试写出它的真值表和逻辑函数式。

解由波形对应关系,列出真值表如下:

逻辑函数式为

B

A

Y+

=

11.4 逻辑代数及其在逻辑电路中的应用

11.4.1 逻辑代数概述

逻辑代数是研究逻辑电路的数学工具。

逻辑变量:逻辑代数的变量。在逻辑电路里,输入、输出状态相当于逻辑变量。

逻辑变量的表示:用大写字母A、B、C等标记。

逻辑变量特征:只有0和1两种取值。

11.4.2逻辑函数式与组合逻辑电路

图11.3.12 某逻辑电路输入、

输出相应波形

1.逻辑函数式

逻辑函数式:逻辑变量用逻辑运算符号连接起来,就成为逻辑函数式。 如:B A B A B A Y +??++=;)()(B A B A B A Y +?+=。 运算的次序:如有括号先进行括号里的运算,没有括号则先算非号下的内容,取非后,再按乘、加的次序依次运算。

2.组合逻辑电路

组合逻辑电路:仅由基本门电路(在不加反馈的情况下)

组成的逻辑电路称为组合逻辑电路。

3.逻辑函数与组合逻辑电路转换

[例11.4.1

] 把图11.4.1中逻辑电路的输出Y 和输入A 、B 的逻辑关系写成逻辑函数式。 解 (1) B A Y ?=1

(2) 12Y A Y ?= (3) B Y Y ?=13 (4) 324Y Y Y +=

(5) 4Y A Y += )(B B A B A A A Y ??+??+=

[例11.4.2] 根据逻辑函数式B A B A Y ??+=)(,画出它的逻辑电路。

解 逻辑电路如图11.4.2所示。

11.4.3 逻辑代数的基本定律及其应用

逻辑代数具有基本运算定律,运用这些定律可以把复杂的逻辑函数式恒等化简。 一、逻辑代数基本定律

交换律 A B B A +=+;A B B A ?=? 结合律 C B A C B A ++=++)()( C B A C B A ??=??)()( 分配律 )()(C A B A C B A +?+=?+ 1=+A A ;0=?A A 反演律(又称摩根定律)

B A B A ?=+;B A ? = A +B

?????=+++C B A C B A

???+++=???C B A C B A 注意:逻辑函数等式表示等号两边的函数式代表的逻辑电路所具有的逻辑功能是相同的。 二、逻辑函数的化简(代数法)

代数法:运用逻辑代数的基本定律和一些恒等式化简逻辑函数式的方法。 化简的目的:使表达式是最简式。

最简式的含义:乘积项的项目是最少的;每个乘积项中,变量的个数为最少。 化简方法:

图11.4.1 分析逻辑电路函数式

图11.4.2 由逻辑函数式画电路图

1.并项法

利用1=+A A 的关系,将两项合并为一项,并消去一个变量。 2.吸收法

利用A AB A =+的关系,消去多余的项。 3.消去法

利用B A B A A +=+的关系,消去多余的因子。 4.配项法

利用)(B B A A +=的关系,将其配项,然后消去多余的项。 [例11.4.3] 求证B A AB B A B A +=+

解 AB AB B A B A B A B A B A B A B A B A +=++=++=?=+))(())(( [例11.4.4] 求证C A B A C A AB +=+ 解

C

A B A C B A A C A B A C B C A B A C A B A C A AB C A AB +=+++=++=++==+)())(())((

[例11.4.5] 化简 BD C A AB D A AD ++++ 解

BD

C A B

D C A A BD C A AB A BD C A AB D A AD BD C A AB D A AD ++=++=+++=++++=++++)()()(

三、逻辑代数在逻辑电路中的应用 实现一定逻辑功能的逻辑电路有简有繁,利用逻辑代数化简,可以得到简单合理的电路。

[例11.4.6] 设计一个体现函数式AC AB Y +=的逻辑电路。

解 根据题意,可画出图11.4.3(a )的电路,但函数式化简后得)(C B A AC AB Y +=+=,可简化成图11.4.3(b )的电路。

[例11.4.7] 设计一个D C B D C A C B A Y +++=的逻辑电路。 解 化简前后的逻辑电路分别如图11.4.4、11.4.5所示。

(a) (b)

图11.4.3 逻辑电路图的简化

图11.4.4 化简前的电路 图11.4.5 化简后的电路

D

C B A B A

D C B A B A D C B A B A D C B A BD D A C B A BD D A C C B A D C B D C A C B A Y ++=?++=+++=+++=+++=+++=+++=)()()()()(

[例11.4.8] 变换D A C A B A ++为与非——与非表达式,并画出对应的逻辑电路图。 解 逻辑电路如图11.4.6所示。

D

C B A

D C B A D C B A D A C A B A ???=???=++=++)

(

逻辑函数表达式形式:

—非表达式

——或—与—或非表达式—或非—与非表达式—与非—或表达式—与—与表达式—或 )()( ))((D C C A D C C A D C AC D C AC D C C A Y +=+++=?=+=++=

本章小结

一、数字电路

是处理在数值上和时间上不连续变化的数字信号的电路。

数字电路特点:电路中工作的晶体管多数工作在开关状态;研究对象是电路的输入与输出之间的逻辑关系;分析工具是逻辑代数;表达电路的功能主要用真值表、逻辑函数表达式及波形图等。

二、逻辑门电路 1.逻辑状态

有1、0两种逻辑状态。用1表示高电平,用0表示低电平的逻辑体制为正逻辑;用1表示低电平,用0表示高电平的逻辑体制为负逻辑。

2.三种基本逻辑门列在下面表格之中

图11.4.6 [例11.4.8]电路图

3.七种组合逻辑门

与非门、或非门、与或非门、异或门、同或门、三态门、OC门。4.研究和简化逻辑函数的工具是逻辑代数。

《电子线路》配套多媒体CAI课件电子教案Y

98

《数字电子技术基础》 阎石编著_数字电路教案

数字电路教案 本课程理论课学时数为70,实验24学时。各章学时分配见下表:

第一章逻辑代数基础 【本周学时分配】 本周5学时。周二1~2节,周四3~5节。 【教学目的与基本要求】 1、掌握二进制数、二—十进制数(主要是8421 BCD码) 2、熟练掌握逻辑代数的若干基本公式和常用公式。 3、熟练掌握逻辑函数的几种表达形式。 【教学重点与教学难点】 本周教学重点: 1、绪论:重点讲述数字电路的基本特点、应用状况和课程主要内容。 2、逻辑代数的基本运算:重点讲述各种运算的运算规则、符号和表达式。 3、逻辑代数的基本公式和常用公式:重点讲述逻辑代数的基本公式与普通代数公式的区别,常用公式的应用背景。 4、逻辑函数的表示方法:重点讲述各种表示方法的特点和相互转换方法。 本周教学难点: 反演定理和对偶定理:注意两者之间的区别、应用背景和变换时应注意的问题。【教学内容与时间安排】 一、绪论(约0.5学时) 1、电子电路的分类。 2、数字电路的基本特点。 3、数字电路的基本应用。 4、本课程的主要内容; 5、本课程的学习方法和对学生的基本要求。 二、数制与码制(约1.5学时)(若前置课程已学,可作简单复习0.5学时) 1、几种不同进制(二、八、十、十六进制)。 2、几种不同进制相互转换。 3、码制(BCD码)。 三、逻辑代数 1、基本逻辑运算和复合逻辑运算:与、或、非运算是逻辑代数的基本运算;还可以形成其他复合运算,常用的是与非、或非、与或非、异或、同或运算。(约0.5学时) 2、常用公式(18个)(约0.5学时) 3、基本定理(代入定理、反演定理、对偶定理)(约0.5学时) 4、逻辑函数的概念及表示方法(约0.5学时) 5、逻辑函数各种表示方法间的转换:常用的转换包括:函数式←→真值表;函数式←→逻辑图(约1学时)

数字电子技术基础教案

数字电子技术基础教案 太原工业学院 第1章逻辑代数基础

目的与要求: 熟练掌握基本逻辑运算和几种常用复合导出逻辑运算;熟练运用真值表、逻辑式、逻辑图来表示逻辑函数。 重点与难点: 重点:三种基本逻辑运算和几种导出逻辑运算;真值表、逻辑式、逻辑图之间的相互转换。难点:将真值表转换为逻辑式。 所谓数字电路,就是用0和1数字编码来表示和传输信息的系统,即信息数字化(时代)。 数字电路与传统的模拟电路比较,其突出的优点是:(如数字通 信系统)抗干扰能力强、保密性好、计算机自动控制、(数字测量 仪表)精度高、智能化、(集成电路)可靠性高、体积小等。 数字电子技术基础,是电子信息类各专业的主要技术基础课。 1、1概述 一、模拟量(时间、温度、压力、速度、流量):时间上和幅值上 连续变化的物理量; 模拟信号(正弦交流信号):表示模拟量的信号。 数字量:时间上和幅值上都不连续变化的物理量(工厂中生产的产品个数); 数字信号、数字电路。 数字电路中的数字信号 采用0、1两种数值(便于实现)(位bit 、拍) 0、1表示方法:电位型:电位高低(不归零型数字信号) 脉冲型:有无脉冲(归零型数字信号) 二、数制及其转换 由0、1数值引入二进制及其相关问题。 常用数制:举例:十进制、二进制(双)、七进制(星期)、 十二进制(打)等。 特点:基数:数制中所用数码的个数; 位权。 1. 十进制数 基数:10 位权:n 10 表达式:10)(N =(P2 式1-1)=i n m i i a 101 ?∑--= (1-1) 推广到任意进制R : 基数:R 位权:n R

表达式:R N )(=(P2 式1-2)=i n m i i R a ?∑--=1 (1-2) 2. 二进制数 表达式:2)(N =(P3 式1-3)=i n m i i a 21 ?∑--= (1-3) 位权:以K 为单位;按二进制思维(如1000个苹果问题); 例如:(1101.01)2= 0-16对应的二进制数 特点:信息密度低,引入八、十六进制。 3. 八进制、十六进制 八进制: 基数:8(0-7) 位权:n 8 表达式:8)(N == i n m i i a 81?∑--= ( 1-4) 十六进制: 基数:16(0-9,A ,B ,C ,D ,E ,F ) 位权:n 16 表达式:16)(N ==i n m i i a 161?∑--= 特点:和二进制有简单对应关系;信息密度高,便于书写。 4. 不同进制数的转换 ⑴ R →十:按位权展开,再按十进制运算规则运算。 例1-1、1-2、1-3(P4) ⑵ 十→R :分两步 整数部分:除R 取余,注意结束及结果; 小数部分:乘R 取整,注意精度及结果; 结果合并: ⑶ R=2k 进制之间的转换 二?八:3位?1位, 二?十六:4位?1位, 八?十六:以二进制为过度, 5. 进制的另一种表示方法: B (inary )----二; H(exadecimal)----十六; D(ecimal)----十; O----八 三、二—十进制代码(BCD 代码)

模拟数字电路基础知识

第九章 数字电路基础知识 一、 填空题 1、 模拟信号是在时间上和数值上都是 变化 的信号。 2、 脉冲信号则是指极短时间内的 电信号。 3、 广义地凡是 规律变化的,带有突变特点的电信号均称脉冲。 4、 数字信号是指在时间和数值上都是 的信号,是脉冲信号的一种。 5、 常见的脉冲波形有,矩形波、 、三角波、 、阶梯波。 6、 一个脉冲的参数主要有 Vm 、tr 、 Tf 、T P 、T 等。 7、 数字电路研究的对象是电路的输出与输入之间的逻辑关系。 8、 电容器两端的电压不能突变,即外加电压突变瞬间,电容器相当于 。 9、 电容充放电结束时,流过电容的电流为0,电容相当于 。 10、 通常规定,RC 充放电,当t = 时,即认为充放电过程结束。 11、 RC 充放电过程的快慢取决于电路本身的 ,与其它因素无关。 12、 RC 充放电过程中,电压,电流均按 规律变化。 13、 理想二极管正向导通时,其端电压为0,相当于开关的 。 14、 在脉冲与数字电路中,三极管主要工作在 和 。 15、 三极管输出响应输入的变化需要一定的时间,时间越短,开关特性 。 16、 选择题 2 若一个逻辑函数由三个变量组成,则最小项共有( )个。 A 、3 B 、4 C 、8 4 下列各式中哪个是三变量A 、B 、C 的最小项( ) A 、A B C ++ B 、A BC + C 、ABC 5、模拟电路与脉冲电路的不同在于( )。 A 、模拟电路的晶体管多工作在开关状态,脉冲电路的晶体管多工作在放大状态。 B 、模拟电路的晶体管多工作在放大状态,脉冲电路的晶体管多工作在开关状态。 C 、模拟电路的晶体管多工作在截止状态,脉冲电路的晶体管多工作在饱和状态。 D 、模拟电路的晶体管多工作在饱和状态,脉冲电路的晶体管多工作在截止状态。 6、己知一实际矩形脉冲,则其脉冲上升时间( )。 A 、.从0到Vm 所需时间 B 、从0到2 2Vm 所需时间 C 、从0.1Vm 到0.9Vm 所需时间 D 、从0.1Vm 到 22Vm 所需时间 7、硅二极管钳位电压为( ) A 、0.5V B 、0.2V C 、0.7V D 、0.3V 8、二极管限幅电路的限幅电压取决于( )。 A 、二极管的接法 B 、输入的直流电源的电压 C 、负载电阻的大小 D 、上述三项 9、在二极管限幅电路中,决定是上限幅还是下限幅的是( ) A 、二极管的正、反接法 B 、输入的直流电源极性 C 、负载电阻的大小 D 、上述三项 10、下列逻辑代数定律中,和普通代数相似是( ) A 、否定律 B 、反定律 C 、重迭律 D 、分配律

数字电路实验

实验2 组合逻辑电路(半加器全加器及逻辑运算) 一、实验目的 1.掌握组合逻辑电路的功能测试。 2.验证半加器和全加器的逻辑功能。 3.学会二进制数的运算规律。 二、实验仪器及材料 1.Dais或XK实验仪一台 2.万用表一台 3.器件:74LS00 三输入端四与非门3片 74LS86 三输入端四与或门1片 74LS55 四输入端双与或门1片 三、预习要求 1.预习组合逻辑电路的分析方法。 2.预习用与非门和异或门构成的半加器、全加器的工作原理。 3.学习二进制数的运算。 四、实验内容 1.组合逻辑电路功能测试。 图2-1 ⑴用2片74LS00组成图2-1所示逻辑电路。为便于接线和检查,在图中要注明芯片编号及各引脚对应的编号。 ⑵图中A、B、C接电平开关,Y1、Y2接发光管显示。 ⑶按表2-1要求,改变A、B、C的状态填表并写出Y1、Y2逻辑表达式。 ⑷将运算结果与实验比较。

2.测试用异或门(74LS86)和与非门组成的半加器的逻辑功能。 根据半加器的逻辑表达式可知,半加器Y是A、B的异或,而进位Z是A、B相与,故半加器可用一个集成异或门和二个与非门组成如图2-2。 图2-2 ⑴在实验仪上用异或门和与门接成以上电路。A、B接电平开关S,Y、Z接电平显示。 ⑵按表2-2要求改变A、B状态,填表。 3.测试全加器的逻辑功能。 ⑴写出图2-3电路的逻辑表达式。 ⑵根据逻辑表达式列真值表。 ⑶根据真值表画逻辑函数SiCi的卡诺图。 图2-3 ⑷填写表2-3各点状态。

⑸按原理图选择与非门并接线进行测试,将测试结果记入表2-4,并与上表进行比较看逻辑功能是否一致。 4.测试用异或、与或和非门组成的全加器的逻辑功能。 全加器可以用两个半加器和两个与门一个或门组成,在实验中,常用一块双异或门、一个与或门和一个非门实现。 ⑴画出用异或门、与或非门和与门实现全加器的逻辑电路图,写出逻辑表达式。 ⑵找出异或门、与或非门和与门器件,按自己画出的图接线。接线时注意与或非门中不用的与门输入端接地。 ⑶当输入端Ai、Bi、Ci-1为下列情况时,用万用表测量Si和Ci的电位并将其转为逻辑状态填入表2-5。 五、实验报告 1.整理实验数据、图表并对实验结果进行分析讨论。 2.总结组合逻辑电路的分析方法。 实验3 触发器 一、实验目的 1.熟悉并掌握R-S、D、J-K触发器的构成,工作原理和功能测试方法。 2.学会正确使用触发器集成芯片。 3.了解不同逻辑功能FF相互转换的方法。 二、实验仪器及材料 1.双踪示波器一台 2.Dais或XK实验仪一台 3.器件74LS00 二输入端四与非门1片 74LS74 双D触发器1片 74LS112 双J-K触发器1片 二、实验内容

【VIP专享】电子技术习题解答.第6章.数字电路基础知识习题解答

第6章数字电路基础知识习题解答 6.1 什么是数字信号?什么是模拟信号? 解:模拟信号是在时间和数值上均作连续变化的电信号,如收音机、电视机通过天线接收到的音频信号、视频信号都是随时间作连续变化的物理量。数字信号是在数值和时间上都是离散的、突变的信号,常常被称作“离散”信号。 6.2 在数字逻辑电路中为什么采用二进制?它有哪些优点? 解:数字电路和模拟电路相比,主要具有如下特点: (1)电路结构简单,易集成化。电路只有两个状态“0”和“1”,对元件精度要求低。 (2)抗干扰能力强,工作可靠性高。 (3)数字信息便于长期保存和加密。 (4)数字集成电路产品系列全,通用性强,成本低。 (5)数字电路不仅能完成数值运算,而且还能进行逻辑判断。 6.3 逻辑函数式有哪几种表示形式? 解:逻辑函数的表示方法通常有以下四种:真值表、逻辑函数式、逻辑图和卡诺图。 6.4 试说明集电极开路门的逻辑功能,它有什么特点和用途? 解:集电极开路门的逻辑功能与普通与非门的逻辑功能基本相同,集电极门是将原TTL与非门电路中的T5管的集电极开路,并取消了集电极电阻。使用时,为保证集电极门的正常工作,必须在输出端与电源U CC之间串联一个电阻,该电阻称为上拉电阻。 将两个或多个集电极门输出端连在一起可实现线与逻辑。用集电极门可驱动发光二极管的电路。由集电极门可实现逻辑电平转换。 6.5 试说明三态门的逻辑功能,它有什么特点和用途? 解:三态门的逻辑功能与普通与非门的逻辑功能基本相同,逻辑门的输出除有高、低电平两种状态外,还有第三种状态——高阻状态(或称禁止状态)的门电路,简称TSL门。其电路组成是TTL与非门的输入级多了一个控制器件EN。 三态与非门可作为输入设备与数据总线之间的接口。可将输入设备的多组数据分时传

数字电路实验指导书

数字电路实验指导书 上海大学精密机械工程系2010年10月

目录 一、概述 二、实验一基本电路逻辑功能实验 三、实验二编码器实验 四、实验三寄存器实验 五、实验四译码器实验 六、实验五比较器实验 七、实验六加法器实验 八、实验七计数器实验 九、附录一数字电路实验基本知识 十、附录二常用实验器件引脚图 十一、附录三实验参考电路 十二、附录四信号定义方法与规则十三、附录五 DS2018实验平台介绍

前言 《数字电路A》课程是机电工程及自动化学院机械工程自动化专业和测控技术与仪器专业的学科基础必修课。课程介绍数字电路及控制系统的基本概念、基本原理和应用技术,使学生在数字电路方面具有一定的理论知识和实践应用能力。该课程是上海大学和上海市教委的重点课程建设项目和上海大学精品课程,课程教学内容和方式主要考虑了机械类专业对电类知识的需求特点,改变了电子专业类(如信息通信、电气自动化专业)这门课比较注重教授理论性和内部电路构成知识的方式,加强应用设计性实验,主要目的是让学生能在理论教学和实验中学会解决简单工程控制问题的基本方法和技巧,能够设计基本的实用逻辑电路。 本书是《数字电路A》的配套实验指导书,使用自行开发的控制系统设计实验箱,所有实验与课堂理论教学相结合,各实验之间相互关联,通过在实验箱上设计构建不同的数字电路功能模块,以验证理论教学中学到的各模块作用以及模块的实际设计方法。在所有功能模块设计结束后,可以将各模块连接在一起,配上输入输出装置,构成一个完整的工程控制系统。 为本课程配套的输入输出装置是颗粒糖果自动灌装控制和一维直线运动控制,颗粒糖果自动灌装系统的框图如下图所示: 颗粒糖果灌装系统框图 本套实验需要设计的功能模块包括:编码器、寄存器、译码器、比较器、加法器、计数器、光电编码器辩向处理电路、步进电机旋转控制环形分配电路等。

数字电子技术基础--第一章练习题及参考答案

第一章数字电路基础 第一部分基础知识 一、选择题 1.以下代码中为无权码的为。 A. 8421BCD码 B. 5421BCD码 C.余三码 D.格雷码 2.以下代码中为恒权码的为。 A.8421BCD码 B. 5421BCD码 C.余三码 D.格雷码 3.一位十六进制数可以用位二进制数来表示。 A.1 B.2 C.4 D. 16 4.十进制数25用8421BCD码表示为。 A.10 101 B.0010 0101 C.100101 D.10101 5.在一个8位的存储单元中,能够存储的最大无符号整数是。 A.(256)10 B.(127)10 C.(FF)16 D.(255)10 6.与十进制数(53.5)10等值的数或代码为。 A.(0101 0011.0101)8421BCD B.(35.8)16 C.(110101.1)2 D.(65.4)8 7.矩形脉冲信号的参数有。 A.周期 B.占空比 C.脉宽 D.扫描期 8.与八进制数(47.3)8等值的数为: A. (100111.011)2 B.(27.6)16 C.(27.3 )16 D. (100111.11)2 9.常用的B C D码有。 A.奇偶校验码 B.格雷码 C.8421码 D.余三码 10.与模拟电路相比,数字电路主要的优点有。 A.容易设计 B.通用性强 C.保密性好 D.抗干扰能力强 二、判断题(正确打√,错误的打×) 1. 方波的占空比为0.5。() 2. 8421码1001比0001大。() 3. 数字电路中用“1”和“0”分别表示两种状态,二者无大小之分。() 4.格雷码具有任何相邻码只有一位码元不同的特性。() 5.八进制数(18)8比十进制数(18)10小。() 6.当传送十进制数5时,在8421奇校验码的校验位上值应为1。()

数字逻辑实验、知识点总结(精编文档).doc

【最新整理,下载后即可编辑】 数字逻辑实验报告、总结 专业班级:计算机科学与技术3班 学号:41112115 姓名:华葱 一、 实验目的 1. 熟悉电子集成实验箱的基本结构和基本操作 2. 通过实验进一步熟悉各种常用SSI 块和MSI 块的结构、 各管脚功能、工作原理连接方法 3. 通过实验进一步理解MSI 块的各输入使能、输出使能的 作用(存在的必要性) 4. 通过实验明确数字逻辑这门课程在计算机专业众多课 程中所处的位置,进一步明确学习计算机软硬件学习的 主线思路以及它们之间的关系学会正确学习硬件知识 的方法。 二、 实验器材 1. 集成电路实验箱 2. 导线若干 3. 14插脚、16插脚拓展板 4. 各种必要的SSI 块和MSI 块 三、 各次实验过程、内容简述 (一) 第一次实验:利用SSI 块中的门电路设计一个二进制一 位半加器 1. 实验原理:根据两个一位二进制数x 、y 相加的和与 进位的真值表,可得:和sum=x 异或y ,进位C out =x ×y 。相应电路: 2. 实验内容: a) 按电路图连接事物,检查连接无误后开启电源 b) 进行测试,令

y>={<0,0>,<0,1>,<1,0>,<1,1>},看输出位sum 和C out 的变化情况。 c) 如果输出位的变化情况与真值表所述的真值相 应,则达到实验目的。 (二) 第二次实验:全加器、74LS138译码器、74LS148编码器、 74LS85比较器的测试、使用,思考各个输入、输出使能 端的作用 1. 实验原理: a) 全加器 i. 实验原理: 在半加器的基础上除了要考虑当前两个二进制为相 加结果,还要考虑低位(前一位)对这一位的进位 问题。由于进位与当前位的运算关系仍然是和的关 系,所以新引入的低位进位端C in 应当与当前和sum 再取异或,而得到真正的和Sum ;而进位位C out 的 产生有三种情况:={<1,1,0>,<1,0,1>,<0,1,1>},也就是说当x 、y 、 C in 中当且仅当其中的两个数为1,另一个数为0的 时候C out =1,因此:C out =xy+xC in +yC in 得电路图(也 可以列出关于C in 的真值表,利用卡诺图求解C in 的 函数表达式): ii. >的8中 指,y ,C in x y C in Sum C out

数字电子技术基础第五版期末知识点总结 (1)

数电课程各章重点 第一、二章 逻辑代数基础知识要点 各种进制间的转换,逻辑函数的化简。 一、二进制、十进制、十六进制数之间的转换;二进制数的原码、反码和补码 .8421码 二、逻辑代数的三种基本运算以及5种复合运算的图形符号、表达式和真值表:与、或、非 三、逻辑代数的基本公式和常用公式、基本规则 逻辑代数的基本公式 逻辑代数常用公式: 吸收律:A AB A =+ 消去律:B A B A A +=+ A B A AB =+ 多余项定律:C A AB BC C A AB +=++ 反演定律:B A AB += B A B A ?=+ 基本规则:反演规则和对偶规则,例1-5 四、逻辑函数的三种表示方法及其互相转换 逻辑函数的三种表示方法为:真值表、函数式、逻辑图 会从这三种中任一种推出其它二种,详见例1-7 五、逻辑函数的最小项表示法:最小项的性质;例1-8 六、逻辑函数的化简:要求按步骤解答 1、 利用公式法对逻辑函数进行化简 2、 利用卡诺图对逻辑函数化简 3、 具有约束条件的逻辑函数化简 例1.1 利用公式法化简 BD C D A B A C B A ABCD F ++++=)( 解:BD C D A B A C B A ABCD F ++++=)( 例 利用卡诺图化简逻辑函数 ∑=)107653()(、、、、 m ABCD Y 约束条件为 ∑8)4210(、、、、 m 解:函数Y 的卡诺图如下:

第三章 门电路知识要点 各种门的符号,逻辑功能。 一、三极管开、关状态 1、饱和、截止条件:截止:T be V V <, 饱和:β CS BS B I I i => 2、反相器饱和、截止判断 二、基本门电路及其逻辑符号 与门、或非门、非门、与非门、OC 门、三态门、异或; 传输门、OC/OD 门及三态门的应用 三、门电路的外特性 1、输入端电阻特性:对TTL 门电路而言,输入端通过电阻接地或低电平时,由于输入电流流过该电阻,会在电阻上产生压降,当电阻大于开门电阻时,相当于逻辑高电平。 习题2-7 5、输出低电平负载电流I OL 6、扇出系数N O 一个门电路驱动同类门的最大数目 第四章 组合逻辑电路知识要点 组合逻辑电路的分析、设计,利用集成芯片实现逻辑函数。 (74138, 74151等) 一、组合逻辑电路:任意时刻的输出仅仅取决于该时刻的输入,与电路原来的状态无关 二、 组合逻辑电路的分析方法(按步骤解题) 三、 若干常用组合逻辑电路 译码器(74LS138) 全加器(真值表分析) 数据选择器(74151和74153) 四、 组合逻辑电路设计方法(按步骤解题) 1、 用门电路设计 2、 用译码器、数据选择器实现 例3.1 试设计一个三位多数表决电路

数字电路实验大纲

数字电路实验课程教学大纲 一、课程的基本信息 适应对象:电子科学与技术电子信息工程通信工程 课程代码:AAD00813 学时分配:16 赋予学分:1 先修课程:电路分析低频电子线路 后续课程:信号系统单片机原理与接口技术 二、课程性质与任务 数字电路实验为专业基础实验,面向电子信息工程、电子科学与技术、通信工程专业开设的独立设置的实验课程及课内实验。通过本课程的学习使学生进一步掌握常用仪器的使用,并掌握数字电路基本知识、常用芯片的功能及参数以及中、大规模器件的应用,掌握组合逻辑电路和时序逻辑电路的设计方法。同时通过学习,可以培养学生独立思考、独立解决问题的能力,加强动手能力的培养,使学生掌握数字电路的设计方法。 三、教学目的与要求 本课程是一门集理论与实践与一体的课程。学生通过本课程的学习,能够掌握各种基本逻辑门电路的结构和功能;掌握各种组合逻辑电路的分析和设计方法;熟悉常用的触发器,并会对常用的时序电路进行分析;对较复杂的数字系统的分析方法能有所了解;掌握各种电子电路和系统的测试方法和技能。 四、教学内容与安排 实验项目设置与内容提要

虚拟实验项目设置与内容提要 五、教学设备和设施 DZX-1 电子学综合实验装置示波器数字电路虚拟实验系统 六、课程考核与评估 实验成绩由虚拟实验成绩、平时实验成绩和考核成绩组成,虚拟实验成绩占20%,平时实验成绩占50%,考核成绩占30%。平时实验成绩由实验操作成绩和实验报告成绩组成,实验操作成绩占平时实验成绩的70%;实验报告成绩占平时实验成绩的30%。实验操作主要考察学生对实验电路的设计难易程度、电路连接调试、问题解决的能力,是否能够达到设计要求;实验报告主要考察学生对实验涉及的理论知识的掌握,对实验得到的结论和现象是否能够正确理解和分析,并能够合理的解释实验中出现的问题,正确判断实验的成功、失败。

数字电路基础知识

第九章 数字电路基础知识 一、填空题 1、 模拟信号是在时间上和数值上都是 连续 的信号。 2、 脉冲信号则是指极短时间内的 突变 电信号。 3、 广义地凡是 非正弦 规律变化的,带有突变特点的电信号均称脉冲。 4、 数字信号是指在时间和数值上都是 离散 的信号,是脉冲信号的一种。 5、 常见的脉冲波形有,矩形波、 锯齿波 、三角波、 尖脉冲 、阶梯波。 6、 一个脉冲的参数主要有 Vm 、tr 、 Tf 、T P 、T 等。 7、 数字电路研究的对象是电路的 输出与输入 之间的逻辑关系。 8、 电容器两端的电压不能突变,即外加电压突变瞬间,电容器相当于 短路 。 9、 电容充放电结束时,流过电容的电流为0,电容相当于 开路 。 10、 通常规定,RC 充放电,当t = (3-5)τ 时,即认为充放电过程结束。 11、 RC 充放电过程的快慢取决于电路本身的 时间常数 ,与其它因素无关。 12、 RC 充放电过程中,电压,电流均按 指数 规律变化。 13、 理想二极管正向导通时,其端电压为0,相当于开关的 闭合 。 14、 在脉冲与数字电路中,三极管主要工作在 截止区 和 饱和区 。 15、 三极管输出响应输入的变化需要一定的时间,时间越短,开关特性 越好 。 二、选择题 1 若逻辑表达式F A B =+,则下列表达式中与F 相同的是( A ) A 、F A B = B 、F AB = C 、F A B =+ 2 若一个逻辑函数由三个变量组成,则最小项共有( C )个。 A 、3 B 、4 C 、8 3 图9-1所示是三个变量的卡诺图,则最简的“与或式”表达式为( A ) A 、A B A C BC ++ B 、AB B C AC ++ C 、AB BC AC ++ 4 下列各式中哪个是三变量A 、B 、C 的最小项( C ) A 、A B C ++ B 、A BC + C 、ABC 5、模拟电路与脉冲电路的不同在于( B )。 A 、模拟电路的晶体管多工作在开关状态,脉冲电路的晶体管多工作在放大状态。 B 、模拟电路的晶体管多工作在放大状态,脉冲电路的晶体管多工作在开关状态。 C 、模拟电路的晶体管多工作在截止状态,脉冲电路的晶体管多工作在饱和状态。 D 、模拟电路的晶体管多工作在饱和状态,脉冲电路的晶体管多工作在截止状态。 14 B 15 C 16 D 17 B 18 B 19 C 20A 6、己知一实际矩形脉冲,则其脉冲上升时间( C )。 A 、.从0到Vm 所需时间 B 、从0到 2 2Vm 所需时间

北航数字电路期末试题及答案知识讲解

北航数字电路期末试 题及答案

北航数字电路期末试题及答案数字电子技术基础(A卷)

一. 解答下列问题(共40分,每小题5分) 1. 十进制数 X = 117,其ASCII 码表示为: 。 在8位机器中,[X]补 = ,[-X]补 = 。 2. 已知逻辑函数:()F A C BC A B CD =+++,直接用反演规则写出其反函数和对偶函数。 3. 用卡诺图化简逻辑函数∑∑+=)15,1013,8,2,1()14,12,7,6,0(44d m F 4. 用OC 门驱动发光二极管电路如图,若V F =2V ,I F =20mA ,试完善电路并计算电阻R=? 5. 画出图示电路的输出波形 A B C Y Y A B C & E n

6. 主-从JK 触发器,已知CP 、J 、K 信号波形如图示,画出输出波形(初始状态为0)。 7. 分析函数 F AB ABC =+ 所组成的电路存在何种险象。 8. 图示电路中触发器: 建立时间 t su = 20ns , 保持时间 t h = 5ns , 传输迟延时间t pdcp-Q,/Q = 30ns , 门G 迟延t pd G = 10ns , 时钟脉冲F max = ? 二. 逻辑函数 (,,)F A B C ABC BC AC =++(本题共14分,每小题7分) 1. 用3-8译码器及适当门电路实现。

2.用“四选一”数据选择器及适当门电路实现。 三.分析下列电路所实现的逻辑功能(本题共16分,每小题8分) 1.由2-5-10进制异步计数器构成的电路。 2.由74LS163构成计数器电路。

《电子技术基础》数字电路教案(张兴龙主编教材)

学生情况分析 该门课程所授对象是电子20和电子22班,两个班的学生都接近50人,均为二年一期学生。该批学生已经学习了《电子技术基础》的模拟电路的大部分,对专业都有了较为全面的了解,对专业课的学习方法都有一定的掌握,并学习过《电工基础》课程且有部分同学通过了电工证的考试,还学习过电子技能训练,掌握了基本工具的使用,具备一定的制作能力并有浓厚的兴趣。他们都还处于入门期,对知识的渴望较高,对专业课的反映很好。这些都是有利的方面。 不利的方面也是有的,诸如存在学生之间发展不平衡:有的课外参加过制作培训,甚至有少部分同学对电视机维修都有较好的掌握,而有同学对起码的制作还没入门,更有甚者有学生还不会使用万用表。还存在班级发展不平衡:由于电子20班与电子22班在以前的授课中专业老师不一样,各任课教师的侧重点也各不相同,使得班级之间有各方面的差异。随着《电子技术基础》一年二期的学习,有部分同学产生了畏难情绪,失去了学习兴趣。这两个班都有少部分同学是从电子23班转入的,在学生不平衡方面就尤为明显。 当然,教学过程本身就是要针对学生的不同状况做出相应的布置,让学生能学有所获。在对教材处理上,在教学方法上,在教学辅导等等各教学环节上都要有针对性的去解决问题,达到建立学生的学习兴趣,构成学生的知识个性。使学生能成为社会的中等技术工人,并具备后绪发展能力。

教材分析 该课程选用的由张龙兴主编的《电子技术基础》,由高等教育出版社出版,是教育部规划教材。全书分两篇,第一篇模拟电路基础,第二篇数字电路基础。第一篇学生已经在一年二期学习了大部分内容,只有集成运放一节没有学习。第二篇数字电路包括逻辑门电路、数字逻辑基础、组合逻辑电路、集成触发器、时序逻辑电路、脉冲的产生和整形电路、数模和模数转换、智能化电子系统简介八个章节。 在教学中不可能面面具到,就需要适度的对教材进行处理,只能以部分为重点,根据学生的实际情况和教材内容,在教学中侧重于逻辑门电路(8课时)、数字逻辑基础(10课时)、组合逻辑电路的教学(14课时)、集成触发器(16课时)、时序逻辑电路(16课时);对脉冲波形的产生和整形电路让学生了解性掌握(4课时)。对于智能化电子系统简介、数模和模数转换章节由于内容太深,太抽象学生不易掌握,不予讲解,但在大学阶段又有较多的应用,故就鼓励学生进行自学,对于不懂的内容个别辅导。所授内容共68课时(共需17周),由于时间限制,对其他相关内容只能利用课余时间进行辅导以扩宽学生的知识面。故要求学生能利用课余时间去阅读相关资料,来达到学以至用的目的。《电子技术基础》虽然是一门基础课,但他的应用还是相当广泛的,故在教学中也应该认识到这一点,以指导学生利用所学知识灵活运用。

数字电子技术基础知识总结

数字电子技术基础知识总结引导语:数字电子技术基础知识有哪些呢?接下来是小编为你带来收集整理的文章,欢迎阅读! 处理模拟信号的电子电路。“模拟”二字主要指电压(或电流)对于真实信号成比例的再现。 其主要特点是: 1、函数的取值为无限多个; 2、当图像信息和声音信息改变时,信号的波形也改变,即模拟信号待传播的信息包含在它的波形之中(信息变化规律直接反映在模拟信号的幅度、频率和相位的变化上)。 3.初级模拟电路主要解决两个大的方面:1放大、2信号源。 4、模拟信号具有连续性。 用数字信号完成对数字量进行算术运算和逻辑运算的电路称为数字电路,或数字系统。由于它具有逻辑运算和逻辑处理功能,所以又称数字逻辑电路。 其主要特点是: 1、同时具有算术运算和逻辑运算功能 数字电路是以二进制逻辑代数为数学基础,使用二进制数字信号,既能进行算术运算又能方便地进行逻辑运算(与、或、非、判断、比较、处理等),因此极其适合于运算、比较、存储、传输、控制、决策等应用。

2、实现简单,系统可靠 以二进制作为基础的数字逻辑电路,可靠性较强。电源电压的小的波动对其没有影响,温度和工艺偏差对其工作的可靠性影响也比模拟电路小得多。 3、集成度高,功能实现容易 集成度高,体积小,功耗低是数字电路突出的优点之一。电路的设计、维修、维护灵活方便,随着集成电路技术的高速发展,数字逻辑电路的集成度越来越高,集成电路块的功能随着小规模集成电路(SSI)、中规模集成电路(MSI)、大规模集成电路(LSI)、超大规模集成电路(VLSI)的发展也从元件级、器件级、部件级、板卡级上升到系统级。电路的设计组成只需采用一些标准的集成电路块单元连接而成。对于非标准的特殊电路还可以使用可编程序逻辑阵列电路,通过编程的方法实现任意的逻辑功能。 模拟电路是处理模拟信号的电路;数字电路是处理数字信号的电路。 模拟信号是关于时间的函数,是一个连续变化的量,数字信号则是离散的量。因为所有的电子系统都是要以具体的电子器件,电子线路为载体的,在一个信号处理中,信号的采集,信号的恢复都是模拟信号,只有中间部分信号的处理是数字处理。具体的说模拟电路主要处理模拟信号,不随时间变化,时间域和值域上均连续的信号,如语音信号。而数

第十章 数字电路的基础知识..

[新课导入] 直接导入,从今天开始,我们学习数字电路。首先学习数字电路基础知识。 [新授内容] §10.1数字电路的基础知识 一、数字电路及其特点: 1.模拟信号:凡是在时间上和数值上都是连续变化的信号。 例如:随声音、温度、压力等物理量作连续变化的电压或电流。 2.数字信号:凡在数值上或时间上都是离散的信号。 数字信号常用二值量来表示。 例如:光电计数器 画图较好说明。 3.模拟电路:处理模拟信号的电路。 例如:交流和直流信号的放大电路。 4.数字电路:处理数字信号的电路。 例如:脉冲信号的产生、放大、整形、传递、控制、记忆、计数等电路。5.数字电路的特点: (1)半导体管多数工作在开关状态,即不是工作在饱和区,就是工作在截止区,而放大区只是其过渡状态。 (2)数字电路的研究对象是电路的输入和输出之间的逻辑关系,因而不能彩模拟电路的分析方法。分析数字电路的工具是逻辑代数,表达电路的功能主要用真值表。逻辑函数表达式及波形图等。 二、数制和码 1.十进制数 基数:0~9权:10

计数规律:逢十进一。 2.二进制数 基数:0、1权:2 计数规律:逢二进一。 3.BCD码 在数字系统中,各种文字、符号等特定的信息,也往往采用一定位数的二进制码来表示,通常把这种二进制码称为代码。 BCD码是用四位二进制数组成一组代码,表示一位十进制码。 基数:0、1权:8、4、2、1

[复习提问] 1.什么叫数字电路?它与模拟电路有何区别。 2.“与”逻辑的含义是什么?它的逻辑表达方式有哪几种? [新授内容] §10.2逻辑门电路 10.2.1与逻辑、与门电路 一、为什么叫门电路 数字电路的基本部分是各种开关电路。这些电路像门一样依一定的条件“开”或“关”所以又称为“门”电路。 二、逻辑的含义: 1.逻辑:思维的规律,合乎逻辑。 2.逻辑:客观的规律性。 3.逻辑学:研究思维的形式和规律的科学。 4.一般,门电路有一个输出端,但有多个输入端。而且输出端的状态是由输入端状态决定的。如果将门电路的输入状态称为“因”,输出端的状态称为“果”,则输入端和输出端状态间有一定的逻辑关系。通常用“逻辑”这个词表示因果的规律性。 简而言之,表示输入端和输出端状态的规律性。 三、基本的逻辑门电路 是指逻辑“与”“或”“非”三种电路 四、关系逻辑电路的几个规定: 1.逻辑状态的表示方法: 逻辑0和逻辑1 注:不是表示数字的大小。而是表示两种对立的状态。

数字电路教案

皖西学院教案 学年第学期 课程名称数字电子技术 授课专业班级电气 授课教师张斌 职称副教授 教学单位机电学院 教研室

学期授课计划说明

单元教案

分教案

从集成度不同 数字集成电路可分为小规模、中规模、大规模、超大规模和甚大规模五类。 、数字集成电路的特点 )电路简单,便于大规模集成,批量生产 )可靠性、稳定性和精度高,抗干扰能力强 )体积小,通用性好,成本低. )具可编程性,可实现硬件设计软件化 )高速度低功耗 )加密性好 、数字电路的分析、设计与测试 ()数字电路的分析方法 数字电路的分析:根据电路确定电路输出与输入之间的逻辑关系。 分析工具:逻辑代数。 电路逻辑功能主要用真值表、功能表、逻辑表达式和波形图。 () 数字电路的设计方法 数字电路的设计:从给定的逻辑功能要求出发,选择适当的逻辑器件,设计出符合要求的逻辑电路。 设计方式:分为传统的设计方式和基于软件的设计方式。 模拟信号与数字信号 . 模拟信号 时间和数值均连续变化的电信号,如 正弦波、三角波等 、数字信号 在时间上和数值上均是离散、幅值只有和两种状态的信号。 数字电路和模拟电路:工作信号,研究的对象不同,分析、设计方法以及所用的数学工具也相应不同

教学内容纲要备注、模拟信号的数字表示 由于数字信号便于存储、分析和传输,通常都将模拟信号转换为数字信 号. →模数转换。 数字信号的描述方法 、二值数字逻辑和逻辑电平 二值数字逻辑:、数码表示数量时称二进制数,表示事物状态时称二值逻 辑。 表示方式:、在电路中用低、高电平表示、两种逻辑状态 、数字波形 数字波形是信号逻辑电平对时间的图形表示。 比特率每秒钟转输数据的位数 ()数字波形的两种类型:归零型和非归零型 ()周期性和非周期性 ()实际脉冲波形及主要参数 ()时序图表明各个数字信号时序关系的多重波形图。 课后作业

数字电路实验(九个)

脉冲与数字电路实验

目录 实验一TTL数字集成电路使用、与非门参数测试实验二门电路 实验三组合逻辑电路 实验四译码器与编码器 实验五触发器 实验六计数器一 实验七计数器二 实验八多谐振荡电路 实验九综合实验 ·二十四进制计数电路 ·数字定时器 ·图形发生器 专题实习通用计时器安装于调试 附录1 常用数字集成电路外引线图 附录2 TTL集成电路分类、推荐工作条件

实验一TTL数字集成电路使用、与非门参数测试 一、实验目的 学习TTL数字集成电路使用方法,学会查阅引脚图。掌握参数测试方法 二、实验设备及器件 1.逻辑实验箱1台 2.万用表1只 3.四2输入与非门74LS00 1只 三、实验重点 54/74LS系列数字集成电路的认识及使用方法 四、数字集成电路概述 以晶体管的“导通”与“截止”表达的两种状态及高电平(H)低电平(L)并以“1” 或“0”表示二进制数。能对二进制数进行逻辑运算、转换、传输、存储的集成电路称为数字集成电路。按分类有TTL型、CMOS型。按功能分有逻辑门电路、组合集成电路、集成触发器、集成时序逻辑电路。 五、实验内容及步骤 1.74LS系列数字集成电路外引线图及使用方法(引线图以14脚集成电路为例) 1)外引线排列 双列直插式封装引脚识别。引脚对称排 列,正面朝上半圆凹槽向左,左下为第1脚, 按逆时针方向引脚序号依次递增。 2)电源供电 芯片以5V供电,电源正极连接标有Vcc 字符的引脚,负极连接标有GND字符的 引脚。电源额定值应准确。为了达到良好的 使用效果,电源范围应满足4.5V≤Vcc≤5.5V。TTL数字集成电路引脚识别 电源极性连接应正确。 3)重要使用规则 a.输出端不能直接连接电源正极或负极。 b.小规模(SSI)和中规模(MSI)芯片,在使用中发热严重应检查外围连线连接是否正确。 1A1B1Y2A2B2Y GND 4A 4B4Y 3A 3B3Y 1A 1B1Y 2A 2B2Y & A B Y & A B Y

数字电子技术基础简明教程复习题

数字电子技术基础简明教程复习题 一、填空 1、(238)10=( 11101110 )2 =( EE )16。(110110.01)2=( 36.4 )16=( 54.25 )10。 2、德?摩根定理表示为 B A +=( B A ? ) , B A ?=( B A + )。 3、数字信号只有( 两 )种取值,分别表示为( 0 )和( 1 )。 4、异或门电路的表达式是( B A B A B A +=⊕ );同或门的表达式是( B A AB B A ?+=⊙ ) 。 5、组成逻辑函数的基本单元是( 最小项 )。(见P17) 6、基本逻辑门有( 与门 )、( 或门 )和( 非门 )三种。复合门有( 与非门 )、( 或非门 )、( 与或非门 )和( 异或门 )等。 7、卡诺图中几何相邻的三种情况是( 相接相邻 )、( 相对相邻 )和( 相重相邻(或称重叠相邻) )。 8、逻辑函数的公式化简的方法是( 并项法 )、( 吸收法 )、( 消去法 )和( 配项消项法 )等。 9、最简与或式的定义是乘积项的( 个数最少 ),每个乘积项中相乘的( 变量个数也最少)的与或表达式。 . 10、.除了与、或、非三种基本逻辑运算外,还有由这三种基本逻辑运算构成的四种复合逻辑运算,它们是( 与非运算 )、( 或非运算 )、(与或非运算 )和异或运算。 11、在正逻辑的约定下,“1”表示( 高电平 ),“0”表示( 低电平 )。在负逻辑的约定下,“1”表示( 低电平 ),“0”表示( 高电平 )。 12、一般TTL 门电路输出端( 不能 )直接相连,实现线与。(填写“能”或“不能”) 13、三态门的三种可能的输出状态是( 高电平 )、( 低电平 )和( 高阻态 )。 14、CMOS 漏极开路门(OD 门)的主要特点是( 输出MOS 管是开路的 );可以实现( 线与 )功能 ;可以用来实现( 逻辑电平 )变换 和( 带负载能力强 )。 15、开门电阻R on 是指使反相器工作在( 导通状态时所允许Ri 的最小值 );典型的TTL 门电路R on 为( 2.5K Ω )。 16、关门电阻R off 是指使反相器工作在( 截止状态时所允许Ri 的最大值 );典型的TTL 门电路 R off 为( 0.7k Ω )。 17、TTL 与非门的多余输入端不能接( 低 )电平。 18、门电路的负载分为( 拉电流 )负载和( 灌电流 )负载两大类。 19、在多路传输过程中,能够根据需要将( 其中任意一路挑选出来 )的电路,叫做数据选择器,也称为多路选择器或多路开关。 20、能够将( 1个 )输入数据,根据需要传送到( m 个 )输出端的任意一个输出端的电路,叫做数据分配器。 21、组合逻辑电路的逻辑功能的特点是任何时刻电路的稳定( 输出 ),仅仅只决定于该时刻( 各个输入变量的取值 )。 22、一个十进制加法计数器需要由( 4个 )JK 触发器组成。 23、八输入端的编码器按二进制数编码时,输出端的个数是( 3 ),四输入端的译码器的输出端的个数最多为 ( 16 )。 24、真值表是将输入逻辑变量的( 所有可能取值 )与相应的( 输出变量函数值 )排列在一起而组成的表格。 25、组合逻辑电路是由( 常用门电路 )组合而成的,其中既无( 从输出到输入的反馈连接 ),也不包含( 可以存储信号的记忆元件 )。 26、触发器又称为双稳态电路,因为它具有( 两个 )稳定的状态。 27、根据逻辑功能不同,触发器可分为( RS 触发器 )、( D 触发器 )、( JK 触发器 )、( T 触发器 )和( T ’触发器 )等。根据逻辑结构不同,触发器可分为( 基本触发器 )、( 同步触发器 )和( 边沿触发器 )等。 28、JK 触发器在JK =00时,具有( 保持 )功能,JK =11时;具有( 翻转 )功能;JK =01时,具有( 置0 )功能;JK =10时,具有( 置1 )功能。 29、JK 触发器具有( 保持 )、( 置0 )、( 置1 )和( 翻转 )的逻辑功能。D 触发器具有( 置0 )和( 置1 )的逻辑功能。RS 触发器具有( 保持 )、( 置0 )和( 置1 )的逻辑功能。 T 触发器具有( 保持 )和( 翻转 )的逻辑功能。T ’触发器具有( 翻转 )的逻辑功能。 30、边沿触发器具有共同的动作特点,即触发器的次态仅取决于CP 信号( 上升沿或下降沿 )到来时刻输入的逻辑状态,而在这时刻之前或之后,输入信号的变化对触发器输出的状态没有影响。

相关主题
文本预览
相关文档 最新文档