当前位置:文档之家› 后仿真calibre

后仿真calibre

使用 Calibre xRC实现 RFCMOS电路的寄生参量提取


(附注注释 ming
2010-1-7 14:53:15
pin的名字最好全部用大写
)
及后仿真

中国科学院微电子研究所郭慧民

[摘要]

Calibre xRC是 Mentor Graphics公司用于寄生参量提取的工具,其强大的
功能和良好的易用性使其得到业界的广泛认可。本文以采用 RFCMOS工艺实现的
LNA为例,介绍使用 Calibre xRC对 RFCMOS电路寄生参量提取,以 Calibreview
形式输出以及在 Virtuoso的 ADE中直接后仿真的流程。本文还将讨论 Calibre
xRC特有的 XCELL方式对包含 RF器件的电路仿真结果的影响。

采用 Calibre xRC提取寄生参量

采用 RFCMOS工艺设计低噪声放大器(LNA),其电路图如图 1所示,版图如图
2所示。


图 1 LNA的电路图
1


图 2 LNA的版图
Calibre支持将其快捷方式嵌入在 Virtuoso平台中。用户只需在自
己.cdsinit文件中加入以下一行语句:

load( strcat( getShellEnvVar("MGC_HOME") "/lib/calibre.skl" ))

就可以在 virtuoso的菜单中出现“calibre”一项,包含如下菜单:


点击Run PEX,启动 Calibre xRC的GUI,如图 3所示。Outputs菜单中的
Extraction Type里,第一项通常选择 Transistor Level或Gate Level,分别
代表晶体管级提取和门级提取。第二项可以选择R+C+CC,R+C,R,C+CC,其中 R
代表寄生电阻,C代表本征寄生电容,CC代表耦合电容。第三项可以选择 NoInductance,L或L+M,分别代表不提取电感,只提取自感和提取自感与互感。
这些设置由电路图的规模和提取的精度而定。

在 Format一栏中,可以选择SPECTRE,ELDO,HSPICE等网表形式,也可以

2


选择 Calibre xRC提供的 CALIBREVIEW形式。本文中选择 CALIBREVIEW形式。Use
Names From可以根据需要选择 SCHEMATIC或 LAYOUT。


图 3 PEX的 GUI界面

设置完毕后,点击Run PEX,开始寄生参量提取。通常,Calibre xRC先执
行LVS,之后提取寄生参量,最后将电路图中的原有的器件和提取出的寄生电容,
电阻和电感反馈到一新生成的带寄生信息的电路图中。PEX完成后,弹出如下对
话框:


图 4 Calibre View设置界面
其中,Output Library表示输出新生成的电路图的library,通常选为提取


(附注注释 ming
2010-1-7 14:54:09
填写fingers=1)
3


寄生参量前的 schematic和 symbol所在的 library即可。Calibre View Type
代表新生成的 schematic的 View形式,可以取任意名字,只要不与已有的 view
name重复即可。比如,取做calibre_r,calibre_rc或calibre_rcc,以分别代
表不同的提取形式,本文中直接取成calibre。Cellmap File是描述寄生参量提
取前后器件对应关系的文件,默认的是./calview.cellmap,即 Virtuoso启动目
录下的 calview.cellmap文件。如果是第一次提取,需要按下面

步骤配置这个文
件。其他选项默认即可。

点击OK,即开始配置 calview.cellmap文件,首先弹出如图 5左所示对话
框:


图 5 设置 calview.cellmap文件的对话框

这个对话框用来配置在新生成的带有寄生参量的电路图中的器件所对应的
library,cell和view。本文中名为 nmos_rfw5与 foundry的 PDK中提供的
rfnmos2v5w的 symbol相对应。点击Auto Map Pins,将自动出现Pin Map。如
果不能自动匹配,通常是由于 layout提取出的器件的 pin的个数和 symbol中
pin的个数不一致,可以通过修改 rule文件使其 pin的数目一致。这样新生成
的带寄生参量的电路图中将以这个 symbol代表这个器件。其他的器件依此类推。
最后要确定提出的寄生电容和寄生电阻的符号,通常采用 analogLib中的 cap
和 res即可,如图 5右所示。

全部器件设置完成后,在所选的 Output Library中将出现 cellname为lna,
view为 calibre的cell。打开后通常无法直接看到器件,这是由于其中包含的
symbol太多,每个 symbol太小难以全部显示。可以执行CTRL+A,找到 symbol
的大致位置,再放大查看。这个 calbre的 view中包含了与 symbol对应的pin,
原来电路图中器件的符号,和生成的寄生电容和电阻,它们构成了带有寄生信息
的电路图。因此,可以直接使用这个电路图进行后仿真。

4


直接在 ADE中进行后仿真

直接采用前仿真时的测试电路,在 composer中通过 Tools->Analog
Environment启动ADE。在 setup菜单中选择Environment,弹出如图 6所示对
话框。


图 6 ADE中的 Environment对话框

在 Switch View List中的最前端填入calibre。工具生成网表时,将按照
顺序首先寻找名为 calibre的view,然后是spectre,依此类推。如果需要仿真
不同参数提取条件下的结果,只要将相应的 view名称(比如 calibre_r,
calibre_rc,calibre_rcc等)放置在最前端 Switch View List即可。其它各
项默认,点击OK。选择仿真类型,进行仿真,这一步骤与前仿真完全相同。图 7
给出了本例中的LNA前仿真和提取RCC之后的后仿真的瞬态结果对比。由此可见,
采用 calibreview的输出形式能够非常方便的在 Virtuoso的 ADE中进行后仿真
和比较前后仿真结果。

5


图 7 LNA前仿真和后仿真瞬态波形对比

使用 XCELL避免寄生参量的重复提取

图 1中,黑线框所示为 RF器件。与一般的 MOS器件不同,这类器件的模型
是代工厂经过实际测量得到的参数,在 spice model中通过子电路表示。因此,
它的模型中已经包含了器件的寄生信息。而且,由于这类器件的面积通常较大,
其中的寄生电容和寄生电阻值是相当可观的。比如,在本设计中,所示的每个
RFMOSFET的宽和长分别为 500um和0.24um,每

个器件包含 50个finger。如果
工具对 RF器件的内部也进行提取,将会对导致器件的寄生电容和电阻重复提取。
为了确保提取正确,Calibre xRC提供一种称为“黑盒”提取的方法,可以将指
定的器件(通常是 RF器件)看作理想器件,对其内部的节点之间的寄生电容和
寄生电阻不再提取。具体步骤如下:

首先,先定义 xcell文件,例如;

cellL cellL

cellR cellR

cellM cellM

左边是版图单元的名称,右边是电路图单元的名称。其中所指定的器件版图
和电路图必须是单独的单元。通过这种方式定义版图和原理图单元的对应关系,
以及提取寄生时所需要屏蔽的版图单元。其次,在 XRC rule中添加 PEX IDEAL

6


XCELL YES语句。最后,采用 gate level的方式进行寄生参量提取,确保工具
将 RF器件识别为一子电路。如果采用 GUI的方式,在图 3所示的界面中,选择
gate level提取,而不是transistor level级提取。同时在input选项中的xcell
部分选择已写好的 xcell文件,如图 8所示。


图 8 设置 xcell的界面

完成以上设置后,运行 PEX进行寄生参量提取,步骤与未采用 XCELL时相同。

XCELL对 LNA仿真结果的影响

图 9,图 10和图 11分别给出了是否采用 XCELL对 LNA瞬态性能,S21参数和
噪声系数的影响。


图 9 是否采用 XCELL对 LNA的瞬态性能的影响
7


图 10是否采用 XCELL对 LNA的 S21的影响


图 11是否采用 XCELL对 LNA的 NF的影响

可见,是否采用 XCELL对 LNA的性能有比较大的影响。主要有两个原因:首
先,不加 XCELL将 RF器件内部的栅电容提了出来,增大了负载电容,降低的S21,
进而降低了电压增益。其次,不加 XCELL将 RF器件内部的栅电阻提了出来,增
大的噪声系数。因此,对于像 LNA这种对寄生电阻和电容非常敏感的模块,在提
取时必须采用XCELL,避免将 RF器件内部的寄生电容和电阻提出,才能得到准
确的结果。

8


总结

Calibre xRC是 Mentor Graphics公司著名的验证工具 Calibre的寄生参数
提取模块,它具有丰富的输出格式,支持数字、模拟、射频及混合电路的寄生参
数提取。Calibre xRC被主流 foundry所支持,具有良好的精确性,特别是对于
模拟和射频电路,输出能够反标回主流的仿真工具中,满足不同的设计流程。使
用 Calibre xRC进行寄生参量提取时,选择 calibreview的输出形式,可以非常
方便的在 Virtuoso的 ADE中直接进行后仿真,以及进行前后仿真结果的比较。
对于习惯 Virtuoso图形化界面进行仿真的用户,采用 Calibre view的输出可以
让版图,后仿真非常平滑的连接在一起,让设计流程更顺畅。Calibre xRC还提
供XCELL的特殊提取形式能够避免采用Design K

it方式设计的器件(比如PCELL、
RF)内部寄生参量重复提取,确保仿真结果准确无误,降低设计流片失败的风险,
提高芯片的良率。

9



相关主题
文本预览
相关文档 最新文档