当前位置:文档之家› 组合逻辑电路的分析与设计

组合逻辑电路的分析与设计

组合逻辑电路的分析与设计
组合逻辑电路的分析与设计

第三章组合逻辑电路的分析和设计

[教学要求]

1.掌握逻辑代数的三种基本运算、三项基本定理、基本公式和常用公式;

2.掌握逻辑函数的公式化简法和卡诺图化简法;

3.了解最小项、最大项、约束项的概念及其在逻辑函数化简中的使用。

4.掌握组合逻辑电路的分析和设计方法;

5.了解组合电路中的竞争和冒险现象、产生原因及消除方法。

[教学内容]

1.逻辑代数的三种基本运算、三项基本定理、基本公式和常用公式

2.逻辑函数的公式化简法和卡诺图化简法

3.最小项、最大项、约束项的概念及其在逻辑函数化简中的使用

4.组合逻辑电路的分析方法

5.组合逻辑电路的设计方法

6.组合电路中的竞争和冒险现象、产生原因及消除方法

组合逻辑电路――在任何时刻,输出状态只决定于同一时刻各输入状态的组合,而和先前状态无关的逻辑电路。

组合逻辑电路具有如下特点:

(1)输出、输入之间没有反馈延迟通路;

(2)电路中不含记忆单元。

3.1 逻辑代数

逻辑代数是分析和设计逻辑电路不可缺少的数学工具。逻辑代数提供了一种方法,即使用二值函数进行逻辑运算。逻辑代数有一系列的定律和规则,用它们对数学表达式进行处理,可以完成对电路的化简、变换、分析和设计。

一、逻辑代数的基本定律和恒等式

常用逻辑代数定律和恒等式表:P90

加乘非

基本定律

结合律

交换律

分配律

反演律(摩根定律)

吸收律

其他常用恒等式

表中的基本定律是根据逻辑加、乘、非三种基本运算法则,推导出的逻辑运算的一些基本定律。对于表中所列的定律的证明,最有效的方法就是检验等式左边的函数和右边函数的真值表是否吻合。

证明:

证明如下:

二、逻辑代数的基本规则

1.代入规则:在任何一个逻辑等式中,如果将等式两边出现的某变量A ,都用一个函数

代替,则等式依然成立,这个规则称为代人规则。

例如,在B(A+C)=BA+BC中。。。,代人规则可以扩展所有基本定律的使用范围。

2.反演规则:根据摩根定律,求一个逻辑函数L的非函数时,可以将L中的和(·)换成或(+),或(+)换成和(·);再将原变量换为非变量(如A换成),非变量换为原变量;并将1换成0,0换成1;那么所得逻辑函数式就是。这个规则称为反演规则。注意,交换时要保持原式中的先后顺序,否则容易出错。

例如,求的非函数时,按照上述法则,可得

,不能写成。

运用反演规则时必须注意两点:

(1)保持原来的运算优先顺序,即如果在原函数表达式中,AB之间先运算,再和其他变量进行运算,那么非函数的表达式中,仍然是AB之间先运算。

(2)对于反变量以外的非号应保留不变。

3.对偶规则:L是一个逻辑表达式,如把L中的和(·)换成或(+),或(+)换成

和(·);1换成0,0换成1,那么就得到一个新的逻辑函数式,这就是L的对偶式,记作L。

例如,,则。变换时仍需注意保持原式中先和后或的顺序。

所谓对偶规则,是指当某个逻辑恒等式成立时,则其对偶式也成立。

利用对偶规则,可从已知公式中得到更多的运算公式。

例如,吸收律成立,则它的对偶式也是成立的。三、逻辑函数的代数变换和化简法

在第1章,曾经通过列写真值表,得到了楼梯照明灯控制的逻辑表达式,它是一个同或函数。那么,对应唯一的真值表,逻辑函数表达式和实现它的逻辑电路是不是唯一的呢?下面就讨论这个问题。

1.逻辑函数的变换

例3.1.1:函数对应的逻辑图如下图所示。利用逻辑代数的基本定律对上述表达式进行变换。

解:

结果表明,图示电路也是一个同或门。

例3.1.2:求同或函数的非函数。

解:P93

这个函数称为异或函数,它表示当两个输入变量取值相异(一个为0,另一个为1)时,输出函数值为1。

在MOS门电路中,我们已接触过异或门,上面的推导更明确地告诉我们,异或门和同或门互为非函数。所以在异或门电路的输出端再加一级反相器,也能得到同或门,如下图所示。

至此,我们已经学到了不止一种同或函数,但是同或函数的真值表却是唯一的,事实上还可以列举许多。由此可以得出结论:一个特定的逻辑问题,对应的真值表是唯一的,但实现它的电路多种多样。这给设计电路带来了方便,当我们手头缺少某种逻辑门的器件时,可以通过函数表达式的变换,避免使用这种器件而改用其他器件。这种情形在实际工作中常会遇到。

2.逻辑函数的化简

一个逻辑函数可以有多种不同的逻辑表达式,如和—或表达式、或—和表达式、和非—和非表达式、或非—或非表达式以及和—或—非表达式等。

以上五个式子是同一函数不同形式的最简表达式。以下将着重讨论和或表达式的化

简,因为和或表达式易于从真值表直接写出,且只需运用一次摩根定律就可以从最简和或表达式变换为和非一和非表达式,从而可以用和非门电路来实现。

最简和或表达式有以下两个特点:

①和项(即乘积项)的个数最少。②每个乘积项中变量的个数最少。

代数法化简逻辑函数是运用逻辑代数的基本定律和恒等式进行化简,常用下列方法:

① 并项法

② 吸收法

③ 消去法

④ 配项法

使用配项的方法要有一定的经验,否则越配越繁。通常对逻辑表达式进行化简,要综合使用上述技巧。以下再举几例。(课本P95)

例3.1.3 化简: EF B EF B A BD C A AB D A AD L ++++++=

例3.1.4

第二节 逻辑函数的卡诺图化简法

经代数法化简后得到的逻辑表达式是否是最简式较难确定。运用卡诺图法可以较简便的方法得到最简表达式。但首先需要了解最小项的概念。

一、最小项的定义及其性质

1.最小项的基本概念

由A 、B 、C 三个逻辑变量构成的许多乘积项中有八个被称为A 、B 、C 的最小项的乘积项,它们的特点是:1. 每项都只有三个因子;2. 每个变量都是它的一个因子;3. 每一变量或以原变量(A、B、C)的形式出现,或以反(非)变量(A、B、C)的形式出现,各出现一

次。一般情况下,对n个变量来说,最小项共有2n 个,如n =3时,最小项有23=8个

2.最小项的性质

为了分析最小项的性质,以下列出3个变量的所有最小项的真值表。

由此可见,最小项具有下列性质:

(1)对于任意一个最小项,只有一组变量取值使得它的值为1,而在变量取其他各组值时,这个最小项的值都是0。

(2)不同的最小项,使它的值为1的那一组变量取值也不同。

(3)对于变量的任一组取值,任意两个最小项的乘积为0。

(4)对于变量的任一组取值,全体最小项之和为1。

3.最小项的编号

最小项通常用m i表示,下标i即最小项编号,用十进制数表示。以ABC为例,因为它和011相对应,所以就称ABC是和变量取值011相对应的最小项,而011相当于十进制中的3,所以把ABC记为m3按此原则,3个变量的最小项

二、逻辑函数的最小项表达式

利用逻辑代数的基本公式,可以把任一个逻辑函数化成一种典型的表达式,这种典型的表达式是一组最小项之和,称为最小项表达式。下面举例说明把逻辑表达式展开为最小项表

达式的方法。例如,要将化成最小项表达式,这时可利用的基本运算关系,将逻辑函数中的每一项都化成包含所有变量A、B、C的项,然后再用最小项下标编号来代表最小项,即

又如,要将化成最小项表达式,可经下列几步:

(1)多次利用摩根定律去掉非号,直至最后得到一个只在单个变量上有非号的表达式;

(2)利用分配律除去括号,直至得到一个和或表达式;

(3)在以上第5个等式中,有一项AB不是最小项(缺少变量C),可用乘此项,正如第6个等式所示。

由此可见,任一个逻辑函数都可化成为唯一的最小项表达式。

三、用卡诺图表示逻辑函数

3变量卡诺图如下:

4变量卡诺图,如下图:

已知逻辑函数画卡诺图根据逻辑函数的最小项表达式和卡诺图的一般形式,就可以得到相应的卡诺图。

例如,要画出逻辑函数的卡诺图时,可根据

4变量卡诺图,对上列逻辑函数最小项表达式中的各项,在卡诺图相应方格内填入1,其余填入0,即可得到如下图所示的L的卡诺图。

例3.2.1:画出的卡诺图

解:

(1)利用摩根定律,可以将上式化简为:

(2)因上式中最小项之和为L,故对L中的各最小项,在卡诺图相应方格内应填入0,其余填入1,即得下图所示的卡诺图。

四、用卡诺图化简逻辑函数

1.具体逻辑函数的卡诺图表示;

2.画圈;

3.写表达式

画包围圈时应遵循以下原则:

(1)包围圈内的方格数必定是2n个,n等于0、1、2、3、…。

(2)相邻方格包括上下底相邻,左右边相邻和四角相邻。

(3)同一方格可以被不同的包围圈重复包围,但新增包围圈中一定要有新的方格,否则该包围圈为多余。

(4)包围圈内的方格数要尽可能多,包围圈的数目要尽可能少。

例3.2.2: 一个逻辑电路的输入是4个逻辑变量A、B、C、D,它的真值表如下,用卡诺图法求化简的和一或表达式及和非一和非表达式。

解:(1)由真值表画出卡诺图,如下图所示。

(2)画包围圈合并最小项,得简化的和一或表达式。

(3)求和非一和非表达式。

二次求非然后利用摩根定律得:

利用卡诺图表示逻辑函数式时,如果卡诺图中各小方格被1占去了大部分,虽然可用包围1的方法进行化简,但由于要重复利用1项,往往显得零乱而易出错。这时采用包围0的方法化简更为简单。即求出非函数再对求非,其结果相同。

例3.2.3:化简下列逻辑函数

解:1)由L画出卡诺图,如图所示。

(2)用包围1的方法化简,如下图所示,得:所以有:

(3)用包围0的方法化简,如图所示,

根据图得到:,两边去反后可得:,两种方法结果相同的。

实际中经常会遇到这样的问题,在真值表内对应于变量的某些取值下,函数的值可以是任意的,或者这些变量的取值根本不会出现,这些变量取值所对应的最小项称为无关项或任意项。无关项的意义在于,它的值可以取0或取1,具体取什么值,可以根据使函数尽量得到简化而定。

第三节组合逻辑电路的分析

分析组合逻辑电路的目的是为了确定已知电路的逻辑功能,其

步骤大致如下:

1.由逻辑图写出各输出端的逻辑表达式;

2.化简和变换各逻辑表达式;

3.列出真值表;

4.根据真值表和逻辑表达式对逻辑电路进行分析,最后确定其功能。

例3.3.1:已知逻辑电路如下图所示,分析该电路的功能。――奇校验电路

例3.3.2:一个双输入端、双输出端的组合逻辑电路如下图所示,分析该电路的功能。

输入输出

ABSC

符合两个1位二进制数相加的原则,即A,B为两个加数,S是它们的和,C是向高位的进位。这种电路可用于实现两个1位二进制数的相加,实际上它是运算器中的基本单元电路,称为半加器。

对于比较简单的组合逻辑电路,有时也可用画波形图的方法进行分析。为了避免出错,通常是根据输入波形,逐级画出输出波形,最后根据逻辑图的输出端和输入端波形之间的关系确定功能。用画波形图的分析法对以上两个例题的分析结果分别如图所示。(P107-P108)

第四节组合逻辑电路的设计

组合逻辑电路的设计和分析过程相反,其步骤大致如下:

(1)根据对电路逻辑功能的要求,列出真值表;(2)由真值表写出逻辑表达式;

(3)简化和变换逻辑表达式,从而画出逻辑图。

组合逻辑电路的设计,通常以电路简单,所用器件最少为目标。

例3.4.1:试用2输入和非门和反相器设计一个3输入(I0、I1、I2)、3输出(L0、L1、L2)的信号排队电路。它的功能是:当输入I0为1时,无论I1和I2为1还是0,输出L0为1,L1和L2为1;当I0为0且I1为1,无论I2为1还是0,输出L1为1,其余两个输出为0;当I2为1且另外两个均为0时,输出 L2为1,其余两个输出为0。如I0、I1、I2均为0,则L0、L1、L2也均为0。

解:(1)根据题意列出真值表如下:

(2)根据真值表写出各输出逻辑表达式:(3)根据要求将上式变换为和非形式:

由此可画出逻辑图,如下图所示。该逻辑电路可用一片内含四个2输人端的和非门(图中蓝灰色部分)(比如74LS00)和另一片内含六个反相器(74LS04)的集成电路组成。原逻辑表达式虽然是最简形

式,但它需一片反相器和一片3输入端的和门才能实现(见下图),器件数和种类都不能节省,而且三输入端的和门器件不如二输入端的和非门常见。由此可见,最简的逻辑表达式用

一定规格的集成器件实现时,其电路结构不一定是最简单和最经济的。设计逻辑电路时应以集成器件为基本单元,而不应以单个门为单元,这是工程设计和理论分析的不同之处。

第五节组合逻辑电路中的竞争冒险

前面分析组合逻辑电路时,都没有考虑门电路的延迟时间对电路产生的影响。实际上,从信号输入到稳定输出需要一定的时间。由于从输入到输出的过程中,不同通路上门的级数不同,或者门电路平均延迟时间的差异,使信号从输人经不同通路传输到输出级的时间不同。由于这个原因,可能会使逻辑电路产生错误输出。通常把这种现象称为竞争冒险。

一、产生竞争冒险的原因

首先来分析下图所示电路的工作情况,可以建立竞争冒险的概念。

在图中,和门G2的输入是A和两个互补信号。由于G1的延迟,的下降沿要滞后于

A的上升沿,因此在很短的时间间隔内,G2的两个输入端都会出现高电平,致使它的输出出现一个高电平窄脉冲(它是按逻辑设计要求不应出现的干扰脉冲),见图中的波形部分所示。和门G2的2个输入信号分别由G1和A端两个路径在不同的时刻到达的现象,通常称为竞争,由此而产生输出干扰脉冲的现象称为冒险。下面进一步分析组合逻辑电路产生竞争冒险的原因。

设有一个逻辑电路如上图所示,其工作波形如下图所示。它的输出逻辑表达式为。由此式可知,当A和B都为1时,L=1,和C的状态无关。但是,由波形图可以看出,在C由1变0时,C由0变1有一延迟时间,在这个时间间隔内,G2和G3的输出AC和同时为0,而使输出出现一负跳变的窄脉冲,即冒险现象。这是产生竞争冒险的原因之一,其他原因这里不作详述。

由以上分析可知,当电路中存在由反相器产生的互补信号,且在互补信号的状态发生变化时可能出现冒险现象

二、消去竞争冒险的方法

针对上述原因,可以采取以下措施消去竞争冒险现象。

1.发现并消掉互补变量:例如,函数式,在B=C=0时,。若直接根据这个逻辑表达式组成逻辑电路,则可能出现竞争冒险。可以将该式变换为

,这里已将消掉。根据这个表达式组成逻辑电路就不会出现竞争冒险。

2.增加乘积项:对于下图中所示的逻辑电路(a),可以根据逻辑代数中的常用恒等式,在其输出逻辑表达式中增加乘积项AB。这时,,对应的逻辑电路如图(b)所

示。由前面的分析可知,出现负跳变窄脉冲处,正是A和B均为1时。显然,对于图(b)所示电路,当A=B=1时,G5输出为1,G4输出亦为1,这就消除了C跳变时对输出状态的影响,从而消去了竞争冒险。

(a) (b)

3. 输出端并联电容器

如果逻辑电路在较慢速度下工作,为了消去竞争冒险,可以在输出端并联——电容器,其容量为4~20pF之间,比如可以在右图的电路的输出端并联一个电容C,如下图所示。由于或门G4存在——输出电阻R0,致使输出波形上升沿和下降沿的变化变得比较缓慢。因此对于很窄的负跳变脉冲起到平滑的作用,如下图中的波形所示。显然,这时在输出端不会出现逻辑错误。

本章小结

·逻辑代数是分析和设计逻辑电路的工具。

·分析组合逻辑电路的目的是确定已知电路的逻辑功能,其步骤大致是:写出各输出端的逻辑表达式→化简和变换逻辑表达式→列出真值表→确定功能。

·使用逻辑门电路设计组合逻辑电路的步骤大致是:列出真值表→写出逻辑表达式(或填写卡诺图)→逻辑化简和变换→画出逻辑图。

组合逻辑电路的设计题目

1、在一旅游胜地,有两辆缆车可供游客上下山,请设计一个控制缆车正常运行的逻辑电路。要求:缆车A和B在同一时刻只能允许一上一下的行驶,并且必须同时把缆车的门关好后才能行使。设输入为A、B、C,输出为Y。(设缆车上行为“1”,门关上为“1”,允许行驶为“1”) (1) 列真值表;(4分) (2)写出逻辑函数式;(3分) (3)用基本门画出实现上述逻辑功能的逻辑电路图。(5分) 解:(1)列真值表:(3)逻辑电路图: A B C Y 000 001 010 011 100 101 110 111 (2)逻辑函数式: 2、某同学参加三类课程考试,规定如下:文化课程(A)及格得2分,不及格得0分;专业理论课程(B)及格得3分,不及格得0分;专业技能课程(C)及格得5分,不及格得0分。若总分大于6分则可顺利过关(Y),试根据上述内容完成: (1)列出真值表; (2)写出逻辑函数表达式,并化简成最简式; (3)用与非门画出实现上述功能的逻辑电路。 (3)逻辑电路图 A B C Y 000 001 010 011 100 101 110 111 (2)逻辑函数表达式3、中等职业学校规定机电专业的学生,至少取得钳工(A)、车工(B)、电工(C)中级技能证书的任意两种,才允许毕业(Y)。试根据上述要求:(1)列出真值表;(2)写出逻辑表达式,并化成最简的与非—与非形式;(3)用与非门画出完成上述功能的逻辑电路。 解:(1(3)逻辑电路: A B C Y 000 001 010 011 100 101 110 111 (2)逻辑表达式: 最简的与非—与非形式: 4、人的血型有A、B、AB和O型四种,假定输血规则是:相同血型者之间可输出,AB血型者可接受其他任意血型,任意血型者可接受O型血。图1是一个输血判断电路框图,其中A1A0表示供血者血型,B1B0表示受血者型,现分别用00、01、10和11表示A、B、AB和O四种血型。Y 为判断结果,Y=1表示可以输血,Y=0表示不允许输血。请写出该判断电路的真值表、最简与—或表达式,并画出用与非门组成的逻辑图。 输血判断电路框图: 解:(1)真值表:(3)逻辑图: 输入输出 A1A0B1B0Y 0000 0001 0010 0011

组合逻辑电路基础知识、分析方法

组合逻辑电路基础知识、分析方法 电工电子教研组徐超明 一.教学目标:掌握组合逻辑电路的特点及基本分析方法 二.教学重点:组合逻辑电路分析法 三.教学难点:组合逻辑电路的特点、错误!链接无效。 四.教学方法:新课复习相结合,温故知新,循序渐进; 重点突出,方法多样,反复训练。 组合逻辑电路的基础知识 一、组合逻辑电路的概念 [展示逻辑电路图]分析得出组合逻辑电路的概念:若干个门电路组合起来实现不同逻辑功能的电路。 复习: 名称符号表达式 基本门电路与门Y = AB 或门Y = A+B 非门Y =A 复合门电路 与非门Y = AB 或非门Y = B A+ 与或非门Y = CD AB+ 异或门 Y = A⊕B =B A B A+ 同或门 Y = A⊙B =B A AB+ [展示逻辑电路图]分析得出组合逻辑电路的特点和能解决的两类问题: 二、组合逻辑电路的特点 任一时刻的稳定输出状态,只决定于该时刻输入信号的状态,而与输入信号作用前电路原来所处的状态无关。不具有记忆功能。

三、组合逻辑电路的两类问题: 1.给定的逻辑电路图,分析确定电路能完成的逻辑功能。 →分析电路 2.给定实际的逻辑问题,求出实现其逻辑功能的逻辑电路。→设计电路 14.1.1 组合逻辑电路的分析方法 一、 分析的目的:根据给定的逻辑电路图,经过分析确定电路能完成的逻辑功能。 二、 分析的一般步骤: 1. 根据给定的组合逻辑电路,逐级写出逻辑函数表达式; 2. 化简得到最简表达式; 3. 列出电路的真值表; 4. 确定电路能完成的逻辑功能。 口诀: 逐级写出表达式, 化简得到与或式。 真值表真直观, 分析功能作用大。 三、 组合逻辑电路分析举例 例1:分析下列逻辑电路。 解: (1)逐级写出表达式: Y 1=B A , Y 2=BC , Y 3=21Y Y A =BC B A A ??,Y 4=BC , F=43Y Y =BC BC B A A ??? (2)化简得到最简与或式: F=BC BC B A A ???=BC BC B A A +??=BC C B B A A +++))(( =BC C B A B A BC C B B A +??+?=++?)(=BC B A BC C B A +?=++?)1( (3)列真值表: A B C F 0 0 0 1 0 0 1 1 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 (4)叙述逻辑功能: 当 A = B = 0 时,F = 1 当 B = C = 1 时,F = 1 组合逻辑电路 表达式 化简 真值表 简述逻辑功能

组合逻辑电路的分析

组合逻辑电路的分析(大题)一.目的 由逻辑图得出逻辑功能 二.方法(步骤) 1.列逻辑式: 由逻辑电路图列输出端逻辑表达式; (由输入至输出逐级列出) 2.化简逻辑式: 代数法、卡诺图法; (卡诺图化简步骤保留) 3.列真值表: 根据化简以后的逻辑表达式列出真值表;4.分析逻辑功能(功能说明): 分析该电路所具有的逻辑功能。 (输出与输入之间的逻辑关系); (因果关系) (描述函数为1时变量取值组合的规律) 技巧:先用文字描述真值表的规律(即叙述函数值为1时变量组合所有的取值),然后总结归纳电路实现的具体功能。

5.评价电路性能。三.思路总结: 组合逻辑 电路逻辑表达式最简表达式真值表逻辑功能化简 变换 四.注意: 关键:列逻辑表达式; 难点:逻辑功能说明 1、逻辑功能不好归纳时,用文字描述真值表的规律。(描述函数值为1时变量组合所有的取值)。 2、常用的组合逻辑电路。 (1)判奇(偶)电路; (2)一致性(不一致性)判别电路; (3)相等(不等)判别电路; (4)信号有无判别电路; (5)加法器(全加器、半加器); (6)编码器、优先编码器; (7)译码器; (8)数值比较器; (9)数据选择器; (10)数据分配器。

3、多输出组合逻辑电路判别: 1)2个输出时考虑加法器:2输入半加;3输入全加。 2)4输出时考虑编码器:4输入码型变换;编码器。 五.组合逻辑电路分析实例 例1 电路如图所示,分析电路的逻辑功能。 A B Y 解: (1)写出输出端的逻辑表达式:为了便于分析可将电路自左至右分三级逐级写出Z1、Z2、Z3和Y的逻辑表达式为:

组合逻辑电路设计心得体会

组合逻辑电路设计心得体会篇一:实验一_组合逻辑电路分析与设计 实验1 组合逻辑电路分析与设计 XX/10/2 姓名:学号: 班级:15自动化2班 ? 实验内容................................................. .. (3) 二.设计过程及讨论 (4) 1.真值表................................................. .(转载于: 小龙文档网:组合逻辑电路设计心得体会)................4 2.表达式的推导................................................. .....5 3.电路图................................................. .................7 4.实验步骤................................................. .............7 5. PROTEUS软件仿真 (9)

三测试过程及结果讨论.....................................11 1.测试数据................................................. ...........11 2.分析与讨论................................................. . (13) 四思考题................................................. (16) 实验内容: 题目: 设计一个代码转换电路,输入为4位8421码输出为4位循环码(格雷码)。 实验仪器及器件: 1.数字电路实验箱,示波器 2.器件:74LS00(简化后,无需使用,见后面) 74LS86(异或门),74LS197 实验目的: ①基本熟悉数字电路实验箱和示波器的使用 ②掌握逻辑电路的设计方法,并且掌握推导逻辑表达式的方法 ③会根据逻辑表达式来设计电路 1.真值表:

组合逻辑电路教案

第8章组合逻辑电路 【课题】 8.1概述 【教学目的】 了解组合逻辑电路和时序逻辑电路的电路结构特点及功能特点。 【教学重点】 1.数字逻辑电路的分类和特点。 2.常用的组合逻辑电路种类。 3.会区分数字逻辑电路的类型。 【教学难点】 区分数字逻辑电路的类型。 【教学方法】 讲授法 【参考教学课时】 1课时 【教学过程】 一、复习提问 1.基本逻辑门电路有哪几种,它们的逻辑功能是什么? 2.画出与非门逻辑符号并说明其逻辑功能。 二、新授内容 1.组合逻辑电路 (1)特点:数字逻辑电路中输出信号没有反馈到输入端,因此任意时刻的输出信号状态只与当前的输入信号状态有关,而与电路原来的输出状态无关。 (2)电路组成框图:教材图8.1。 2.时序逻辑电路 (1)特点:数字逻辑电路中输出信号部分反馈到输入端,输出信号的状态不但与当前的输入信号状态有关,而且与电路原来的输出状态有关。因此,这种电路有记忆功能。 (2)电路组成框图:教材图8.2。 三、课堂小结 1.组合逻辑电路的特点。

2.时序逻辑电路的特点。 四、课堂思考 P176思考与练习题。 五、课后练习 对逻辑代数作重点复习并预习下节课的内容(8.2组合逻辑电路的分析)。 【课题】 8.2组合逻辑电路的分析 【教学目的】 掌握组合逻辑电路的分析方法和步骤。 【教学重点】 1.组合逻辑电路的分析方法和步骤。 2.会对给定的组合逻辑电路进行功能分析。 【教学难点】 对给定的组合逻辑电路作功能说明,并用文字描述。 【教学方法】 讲授法、练习法 【参考教学课时】 1课时 【教学过程】 一、复习提问 公式化简,用练习的方式进行。 二、新授内容 1.组合逻辑电路的分析步骤。 (1)根据给定的逻辑电路图,推导输出端的逻辑表达式。 (2)化简和变换 (3)列真值表 (4)分析说明 2.组合逻辑电路的分析举例 (1)老师举例讲解 (2)老师举例,学生讨论分析 例1 已知逻辑电路如图8.1所示,试分析其逻辑功能,要求写出分析过程。

组合逻辑电路的设计

\ 广州大学学生实验报告 开课学院及实验室:电子信息楼410 2013年5月20日 学院 机械与电气 工程学院 年级、专 业、班 11级电气1班姓名·学号 实验课程名 称 数字电子技术实验成绩 实验项目名称; 实验二设计性实验——组合逻辑电路的设计 指导 老师 一、实验目的 1、学习组合逻辑电路的设计方法; 2、掌握使用通用逻辑器件实现逻辑电路的一般方法。 二、实验原理 使用中、小规模集成电路来设计组合电路时最常见的逻辑电路设计方法。设计的过程通常是根据 给出的实际逻辑问题,求出实现这一逻辑功能的最简单逻辑电路,这就是设计组合逻辑电路时要完成 的工作。 , 组合逻辑电路的设计工作通常可按如下步骤进行。 (1)进行逻辑抽象 (2)写出逻辑函数式 (3)选定器件的类型 (4)将逻辑函数化简或变换成适当形式 (5)根据化简或变换后的逻辑函数式画出逻辑电路的连接图 (6)工艺设计 例设计一个监视交通信号灯工作状态的逻辑电路。每一组信号灯由红、黄、绿3盏灯组成,如图 3-22所示。正常工作情况下,任何时刻必有一盏灯亮,而且只允许有一盏灯亮。而当出现其他5种 点亮状态时,电路发生故障,这是要求发出故障信号,以提醒维护人员前去修理。 { 首先进行逻辑抽象。 取红、黄、绿3盏灯的状态为输入变量,分别用R、Y、G表示,并规定灯亮时为1,不亮为0。取故 障信号为输出变量,以Z表示,并规定正常工作状态下Z=0,发生故障时Z=1。更具题意可列出表3-9 所示的逻辑真值表。 表3-9真值表 R Y G Z\ R Y G Z 000[ 1 1000 00| 1 01011 0{ 1 001101

《组合逻辑电路的设计》教学设计

组合逻辑电路的设计 一、设计思想 在新课程理念下,坚持以教师为主导,以学生为主体的教育教学理念,在教师的启发式教育教学下,引导并帮助学生开展探究性的协作学习,教学中充分体现学生的主体,让学生在掌握知识的同时又能培养他们的创新精神和实践能力,又可以激发学生的兴趣,实现教与学的良性循环过程。 在《组合逻辑电路的设计》这节内容教学的过程中利用学校的多媒体教室和实训室的条件,在教师的引导下组织学生进行自主学习。根据教材、教学对象分析,采取以下教学思路:温故知新→任务驱动→探究新知→巩固提高→学以致用。通过教师讲解和学生实际操作,以多媒体教学方法、启发式教学、实验演示验证法、常识教育法组织整个教学过程。教学中领用多媒体教学软件,数字电路仿真软件等将文字、图片、实物训练有机结合。通过本课的学习,让学生明确组合逻辑电路设计的思路与方法,体会到所学知识点相互之间的联系及在实际中的应用,因此占有非常重要的地位。 二、教材分析 本节内容选自高等职业院校教材《工业电子技术基础》第五章第5节的内容,本门课程是机电一体化专业的一门专业基础课,该课程的理论性和实践性都很强,在教学时间分配上理论和实践各占50%,本次授课时间为90分钟,理论和实践时间各占45分钟。 本节内容主要讲述组合逻辑电路的设计步骤,并结合实例讲述组合逻辑电路设计的思路和方法。该内容在教材中起着“承前起后”的作用,既是对前面所学的逻辑电路图、真值表、逻辑函数表达式以及逻辑代数等知识的综合应用,又为后续编码器、译码器等中规模组合逻辑电路的学习奠定基础。 三、学生分析 本节课的授课对象是机电专业大专班的学生,该班级的学生热爱思考,乐于尝试,同时本节课中涉及到的列真值表,写表达式,化简,画逻辑电路等知识同学们在前面都已经掌握,为本节课理论部分的学习打下了良好的基础,在实践部分,同学们已经会根据逻辑电路来连接实际的实物电路,为电路的仿真提供了方便。

第五章组合逻辑电路典型例题分析

第五章 组合逻辑电路典型例题分析 第一部分:例题剖析 例1.求以下电路的输出表达式: 解: 例2.由3线-8线译码器T4138构成的电路如图所示,请写出输出函数式. 解: Y = AC BC ABC = AC +BC + ABC = C(AB) +CAB = C (AB) T4138的功能表 & & Y 0 Y 1 Y 2 Y 3 Y 4 Y 5 Y 6 Y 7 “1” T4138 A B C A 2A 1A 0Ya Yb S 1 S 2 S 30 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 1 S 1S 2S 31 0 01 0 01 0 01 0 01 0 01 0 01 0 01 0 0 A 2A 1A 0Y 0Y 1Y 2Y 3Y 4Y 5Y 6Y 70 1 1 1 1 1 1 11 0 1 1 1 1 1 11 1 0 1 1 1 1 11 1 1 0 1 1 1 11 1 1 1 0 1 1 11 1 1 1 1 0 1 11 1 1 1 1 1 0 11 1 1 1 1 1 1 0

例3.分析如图电路,写出输出函数Z的表达式。CC4512为八选一数据选择器。 解: 例4.某组合逻辑电路的真值表如下,试用最少数目的反相器和与非门实现电路。(表中未出现的输入变量状态组合可作为约束项) CC4512的功能表 A ? DIS INH 2A 1A 0Y 1 ?0 1 0 0 0 00 00 00 0 0 0 0 00 0 ?????0 0 00 0 10 1 00 1 11 0 0 1 0 11 1 01 1 1 高阻态  0D 0D 1D 2D 3D 4D 5D 6D 7 Z CC4512 A 0A 1A 2 D 0 D 1 D 2 D 3 D 4 D 5 D 6 D 7 DIS INH D 1 D A B C D Y 0 0 0 0 1 0 0 0 1 00 0 1 0 10 0 1 1 00 1 0 0 0 CD AB 00 01 11 1000 1 0 0 101 0 1 0 1 11 × × × ×10 0 1 × × A B 第一步画卡诺图第三步画逻辑电路图

组合逻辑电路的设计

组合逻辑电路的设计 一.实验目的 1、加深理解组合逻辑电路的工作原理。 2、掌握组合逻辑电路的设计方法。 3、掌握组合逻辑电路的功能测试方法。 二.实验器材 实验室提供的芯片:74LS00与非门、74LS86异或门,74LS54与或非门,实验室提供的实验箱。 三.实验任务及要求 1、设计要求 (1)用与非门和与或非门或者异或门设计一个半加器。 (2)用与非门和与或非门或者异或门设计一个四位奇偶位判断电路。 2、实验内容 (1)测试所用芯片的逻辑功能。 (2)组装所设计的组合逻辑电路,并验证其功能是否正确。 三.实验原理及说明 1、简述组合逻辑电路的设计方法。 (1)分析实际情况是否能用逻辑变量来表示。 (2) 确定输入、输出逻辑变量并用逻辑变量字母表示,作出逻辑规定。 (3) 根据实际情况列出逻辑真值表。 (4) 根据逻辑真值表写出逻辑表达式并化简。 (5) 画出逻辑电路图,并标明使用的集成电路和相应的引脚。 (6) 根据逻辑电路图焊接电路,调试并进一步验证逻辑关系是否与实际情况相符。 2、写出实验电路的设计过程,并画出设计电路图。 (1)半加器的设计 如果不考虑有来自低位的进位将两个1位二进制数相加。 A、B是两个加数,S是相加的和,CO是向高位的进位。 逻辑表达式 S=A’B+A’B=A⊕B CO=AB (2)设计一个四位奇偶位判断电路。 当四位数中有奇数个1时输出结果为1;否则为0。 A, B, C, D 分别为校验器的四个输入端,Y时校验器的输出端

逻辑表达式 Y=AB’C’D’+A’BC’D’+A’B’C D’+A’B’C’D+A’BCD+AB’CD+ABC’D+ABCD’ =(A⊕B)⊕(C⊕D) 四.实验结果 1、列出所设计电路的MULTISM仿真分析结果。 (1)半加器的设计,1-A被加数,2-B加数,XMMI(和数S)XMM2(进位数CO) (2)设计一个四位奇偶位判断电路。

3.1组合逻辑电路的分析

第三章组合逻辑电路 基本要求: 熟练掌握组合逻辑电路的分析方法;掌握组合逻辑电路的设计方法;理解全加器、译码器、编码器、数据选择器、数据比较器的概念和功能,并掌握它们的分析与实现方法;了解组合逻辑电路中的险象 本章主要内容:组合逻辑电路的分析方法和设计方法。 本章重点: 组合逻辑电路的分析方法 组合逻辑电路的设计方法 常用逻辑部件的功能 本章难点: 组合逻辑电路的设计 一、组合逻辑电路的特点 若一个逻辑电路,在任一时刻的输出仅取决于该时刻输入变量取值组合,而与电路以前的状态无关,则电路称为组合逻辑电路(简称组合电路)。可用一组逻辑函数描述。 组合电路根据输出变量分为单输出组合逻辑电路和多输出组合逻辑电路。 注意:1.电路中不存在输出端到输入端的反馈通路。 2.电路不包含记忆元件。 3.电路的输出状态只由输入状态决定。 二、组合逻辑电路的分析方法 分析的含义:给出一个组合逻辑电路,分析它的逻辑功能。 分析的步骤: 1.根据给出的逻辑电路图,逐级推导,得到输出变量相对于

输入变量的逻辑函数。 2.对逻辑函数化简。 3.由逻辑函数列出对应的真值表。 4.由真值表判断组合电路的逻辑功能。 三、组合电路的分析举例 1、试分析图3-1所示的单输出组合逻辑电路的功能 解:(1)由G1、G2、G3各个门电路的输入输出关系,推出整个电路的表达式: Z1=ABC F=Z1+Z2 (2)对该逻辑表达式进行化简: (3)根据化简后的函数表达式,列出真值表3-1。 (4)从真值表中可以看出:当A、B、C三个输入一致时(或者全为“0”、或者全为“1”),输出才为“1”,否则输出为“0”。所以,这个组合逻辑电路具有检测“输入不一致”的功能,也称为“不一致电路”。

组合逻辑电路的设计实验报告

中国石油大学现代远程教育 电工电子学课程实验报告 所属教学站:青岛直属学习中心 姓名:杜广志学号: 年级专业层次:网络16秋专升本学期: 实验时间:2016-11-05实验名称:组合逻辑电路的设计 小组合作:是○否●小组成员:杜广志 1、实验目的: 学习用门电路实现组合逻辑电路的设计和调试方法。 2、实验设备及材料: 仪器:实验箱 元件:74LS00 74LS10 3、实验原理: 1.概述 组合逻辑电路又称组合电路,组合电路的输出只决定于当时的外部输入情况,与电路过去状态无关。因此,组合电路的特点是无“记忆性”。在组成上组合电路的特点是由各种门电路连接而成,而且连接中没有反馈线存在。所以各种功能的门电路就是简单的组合逻辑电路。 组合逻辑电路的输入信号和输出信号往往不止一个,其功能描述方法通常有函数表达式、真值表、卡诺图和逻辑图等几种。 组合逻辑电路的分析与设计方法,是立足于小规模集成电路分析和设计的基本方法之一。 2.组合逻辑电路的分析方法 分析的任务是:对给定的电路求解其逻辑功能,即求出该电路的输出与输入之间的逻辑关系,通常是用逻辑式或真值表来描述,有时也加上必须的文字说明。 分析的步骤: (1)逐级写出逻辑表达式,最后得到输出逻辑变量与输入逻辑变量之间的逻辑函数式。 (2)化简。 (3)列出真值表。 (4)文字说明 上述四个步骤不是一成不变的。除第一步外,其它三步根据实际情况的要求而采用。 3.组合逻辑电路的设计方法 设计的任务是:由给定的功能要求,设计出相应的逻辑电路。 设计的步骤; (1)通过对给定问题的分析,获得真值表。 在分析中要特别注意实际问题如何抽象为几个输入变量和几个输出变量之间的逻辑关系问题,其输出变量之间是否存在约束关系,从而获得真值表或简化

常用组合逻辑电路设计

实 验 报 告 实验日期: 学 号: 姓 名: 实验名称: 常用组合逻辑电路设计 总 分: 一、实验目的 学习常用组合逻辑电路的可中和代码编写,学习并熟悉VHDL 编程思想与调试方法,掌握LPM 元件实现逻辑设计,从而完成电路设计的仿真验证和硬件验证,记录结果。 二、实验原理 VHDL 设计采用层次化的设计方法,自上向下划分系统功能并逐层细化逻辑描述。层次关系中的没一个模块可以是VHDL 描述的实体,上层VHDL 代码中实例化出各个下层子模块。 利用VHDL 语言和LPM 元件设计这两种方法方法实现两个二位数大小比较的电路,根据A 数是否大于、小于、等于B 数,相应输出端F1、F2、F3为1,设A=A2A1,B=B2B1(A2A1、B2B1表示两位二进制数),当A2A1>B2B1时,F1为1;A2A1

port(a2,a1:in STD_LOGIC; b2,b1:in STD_LOGIC; f1,f2:buffer STD_LOGIC; f3:out STD_LOGIC); end bijiao; architecture bijiao_arch of bijiao is begin f1<=(a2 and(not b2))or(a1 and (not b1)and a2)or(a1 and (not b1)and(not b2)); f2<=((not a2)and b2)or((not a2)and(not a1)and b1)or((not a1)and b1 and b2); f3<=not(f1 or f2); end bijiao_arch; (2)波形仿真 网格大小 100ns 结束时间 2μs 功能仿真:时序仿真:输入信号00, 01,10,11 输入信号00, 01,10,11 输出信号001, 010,100 信号均为二 进制表达 输入信号00, 01,10,11

第4章组合逻辑电路教案

第4章组合逻辑电路 一、教学目的: 本章主要介绍组合逻辑电路的特点、组合逻辑电路的分析方法和设计方法,以及加法器、编码器、译码器、数据选择器、数据比较器、奇偶校验器等常用组合逻辑电路的电路结构、工作原理和使用方法,最后介绍组合逻辑电路中的竞争-冒险。 二、教学题要 4.1 概述 尽管各种组合逻辑电路在功能上千差万别,但是它们的分析方法和设计方法有共同之处。掌握了分析方法,就可以识别任何一个给定的组合逻辑电路的逻辑功能;掌握了设计方法,就可以根据给定的设计要求设计出相应的组合逻辑电路。 4.1.1 组合逻辑电路的结构和特点 4.1.2 组合逻辑电路的分析方法 4.1.3 组合逻辑电路的设计方法 4.2 若干常用的组合逻辑电路 在数字系统设计中,有些逻辑电路是经常或大量使用的,为了使用方便,一般把这些逻辑电路制成中、小规模集成电路产品。在组合逻辑电路中,常用的集成电路产品有加法器、编码器、译码器、数据选择器、数据比较器及奇偶校验器等。下面分别介绍这些组合逻辑部件的电路结构、工作原理和使用方法。为了增加使用的灵活性,在多数中规模集成的组合逻辑电路上,都设置了附加的控制端。控制端既可以控制电路的工作状态(工作或禁止),又可作为输出信号的选通信号,还可以实现器件的扩展。合理地运用这些控制端,不仅能使器件完成自身的逻辑功能,还可以用这些器件实现其他组合逻辑电路,最大限度发挥电路的潜力。 4.2.1 算术运算电路 4.2.2 编码器 4.2.3 译码器 4.2.4 数据选择器 4.2.5数值比较器 4.2.6奇偶校验器 4.3 采用中规模集成部件实现组合逻辑电路 由于中规模集成电路的大量出现,许多逻辑问题可以直接选用相应的集成器件来实现,这样既省去繁琐的设计,又可以避免设计中带来的错误。中规模集成部件都具有与其名称相吻合的专用功能,但对于某些中规模集成电路来说,除了能完成自身的功能外,还可以用来实现组合逻辑电路。下面以译码器和数据选择器为例,介绍用中规模集成电路实现组合逻辑电路的方法。 4.3.1 用译码器实现组合逻辑电路 4.3.2 用数据选择器实现组合逻辑电路 4.4 组合逻辑电路的竞争—冒险现象 为了增加组合逻辑电路使用的可靠性,需要检查电路中是否存在竞争—冒险。如果发现有竞争—冒险存在,则应采取措施加以消除。 4.4.1 竞争—冒险现象及其成因

《组合逻辑电路的设计》教学设计

《组合逻辑电路的设计》教学设计 电类教研组王晓林 2011年11月25日

一、本教学设计体现的教育教学理念 1.突出能力本位将德育渗透于专业课程的教学过程中,将职业技能与职业知识有机结合,在增强学生专业能力的基础上,着力培养学生职业情感、职业态度与团队协作精神,促进良好职业素养的形成,通过对三人表决电路的研究性设计,激发和提高学生开展研究性学习的动机与能力,从而提高学生专业能力、方法能力和社会能力等综合职业能力与就业创业能力。 2.体现实践主线课程实施紧紧围绕项目和任务来开展,充分体现任务引领、行为导向的项目化课程的思想。以常用电子仪器仪表、典型数字芯片为载体,按强能力、宽基础要求展开教学,让学生在掌握电路装接与调试技能的同时,引出相关专业理论知识,使学生在技能训练过程中加深对专业知识与专业技能的理解和应用。 3.凸显以人为本教学目标的确立将学生学习基础和课程标准有机结合;课程实施的过程符合职教育学生形象思维能力强的特点,突出以教师为主导、学生为主体的教育教学理念,贯彻“做中学、学中做”的主导思想;教学效果的评价体现过程性、特质性和发展性等多元评价思想。 二、本教学设计的依据 1.江苏省惠山中等专业学校及电信工程系“五”课评比,“两”课竞赛活动 2.《江苏省职业教育课程改革行动计划》的文件。 3.以江苏省教育科学研究院职业教育与终身教育研究所开发的《职业教育课程开发及项目课程设计》为技术指导。 4、《国务院关于大力发展职业教育的决定》中提出:“职业教育要坚持以就业为导向,深化职业教育改革。” 三、本教学设计的背景分析 《组合逻辑电路的设计》教学设计方案是依据《数字电子技术项目教程》中的项目一任务:三人表决器电路的设计与调试——来编写的。在学习该内容之前,学生已经掌握了数码与数制、逻辑函数、逻辑门电路、仪器仪表的使用方法及焊接电子电路的工艺。同时,学生对数字集成芯片也有一定的了解。 本教学设计课时为2节,以理、仿、实一体的形式进行。

实验二 组合逻辑电路功能分析与设计

实验二组合逻辑电路功能分析与设计 一、实验目的: 1、了解组合逻辑电路的特点; 2、掌握组合逻辑电路功能的分析方法; 3、学会组合逻辑电路的连接方法; 4、掌握组合逻辑电路的设计方法。 二、实验原理: 1、组合逻辑电路的特点: 组合电路的输出只与当时输入的有关,而与电路以前的状态无关,即输出与输入的关系具有及时性,不具备记忆功能。 2、组合逻辑电路的分析方法: a写表达式:一般方法是从输入到输出逐级写出逻辑函数的表达式。 b化简:利用公式法和图行法进行化简,得出最简的函数表达式。 c列真值表:根据最简函数表达式列出函数真值表。 d功能描述:判断该电路所完成的逻辑功能,做出简要的文字描述,或进行改进设计。 3、组合逻辑电路的设计步骤: a根据设计的要求列出真值表。 B根据真值表写出函数表达式。 C化简函数表达式或做适当的形式转换。 D画出逻辑电路图。 三、实验器件 集成块:74LS00、74LS04、74LS08、74LS32 四、实验内容: (一)、组合逻辑电路功能分析 当电路A,B都输入0或1时,Y值输出为1; 当电路A,B输入为不一样的值时,Y值输出为0. 1图4-1 (二)、组合逻辑电路设计(根据组合逻辑电路的设计步骤,分别写出各个组合逻辑电路的设计步骤。) 1、设计一个举重裁判表决器。设举重比赛有三个裁判,一个主裁判和两个副裁判。杠铃完全举上的裁决由每一个裁判按一下自己面前的按钮来确定。只有当两个或两个以上裁判(其中必须有主裁判)

判明成功时,表示“成功”的灯才亮。(要求用与非门实现) 设输入变量:主裁判为A ,副裁判分别为B ,C ,按下按钮为1,不按为0;输出变量:表示成功与否用Y 表示,灯亮为1,不亮为0,根据题意可以列出如图的真值表。 Y=AB == *AC == 2、某设备有开关A 、B 、C ,要求仅在开关A 接通的条件下,开关B 才能接通;开关C 仅在开关B 接通的条件下才能接通。违反这一规程,则发出报警信号。设计一个由与非门组成的能实现这一功能的报警控制电路。(要求用与非门实现) 设输入变量:开关分别为A ,B ,C ;输出变量:报警器为Y ,报警为1,不报警为0,根据题意可以列出如图的真值图。 Y=AC -= *AB -= *BC -=

组合逻辑电路的分析与设计实验报告

组合逻辑电路的分析与设计 实验报告 院系:电子与信息工程学院班级:电信13-2班 组员姓名: 一、实验目的 1、掌握组合逻辑电路的分析方法与测试方法。 2、掌握组合逻辑电路的设计方法。 二、实验原理 通常逻辑电路可分为组合逻辑电路和时序逻辑电路两大类。电路在任何时刻,输出状态只取决于同一时刻各输入状态的组合,而与先前的状态无关的逻辑电路称为组合逻辑电路。 1.组合逻辑电路的分析过程,一般分为如下三步进行:①由逻辑图写输出端的逻辑表达式;②写出真值表;③根据真值表进行分析,确定电路功能。 2.组合逻辑电路一般设计的过程为图一所示。 图一组合逻辑电路设计方框图 3.设计过程中,“最简”是指按设计要求,使电路所用器件最少,器件的种类最少,而且器件之间的连线也最少。 三、实验仪器设备 数字电子实验箱、电子万用表、74LS04、74LS20、74LS00、导线若干。 74LS00 74LS04 74LS20 四、实验内容及方法

1 、设计4线-2线优先编码器并测试其逻辑功能。 数字系统中许多数值或文字符号信息都是用二进制数来表示,多位二进制数的排列组合叫做代码,给代码赋以一定的含义叫做编码。 (1)4线-2线编码器真值表如表一所示 4线-2线编码器真值表 (2)由真值表可得4线-2线编码器最简逻辑表达式为 Y=((I0′I1′I2I3′)′(I0′I1′I2′I3)′)′ 1 Y=((I0′I1I2′I3′)′(I0′I1′I2′I3)′)′ (3)由最简逻辑表达式可分析其逻辑电路图 4线-2线编码器逻辑图 (4)按照全加器电路图搭建编码器电路,注意搭建前测试选用的电路块能够正常工作。 (5)验证所搭建电路的逻辑关系。 I=1 1Y0Y=0 0 1I=1 1Y0Y=0 1 I=1 1Y0Y=1 0 3I=1 1Y0Y=1 1 2 2、设计2线-4线译码器并测试其逻辑功能。 译码是编码的逆过程,它能将二进制码翻译成代表某一特定含义的号.(即电路的某种状态),具有译码功能的逻辑电路称为译码器。 (1)2线-4线译码器真值表如表二所示

组合逻辑电路的分析与设计

第三章组合逻辑电路的分析和设计 [教学要求] 1.掌握逻辑代数的三种基本运算、三项基本定理、基本公式和常用公式; 2.掌握逻辑函数的公式化简法和卡诺图化简法; 3.了解最小项、最大项、约束项的概念及其在逻辑函数化简中的使用。 4.掌握组合逻辑电路的分析和设计方法; 5.了解组合电路中的竞争和冒险现象、产生原因及消除方法。 [教学内容] 1.逻辑代数的三种基本运算、三项基本定理、基本公式和常用公式 2.逻辑函数的公式化简法和卡诺图化简法 3.最小项、最大项、约束项的概念及其在逻辑函数化简中的使用 4.组合逻辑电路的分析方法 5.组合逻辑电路的设计方法 6.组合电路中的竞争和冒险现象、产生原因及消除方法 组合逻辑电路――在任何时刻,输出状态只决定于同一时刻各输入状态的组合,而和先前状态无关的逻辑电路。 组合逻辑电路具有如下特点: (1)输出、输入之间没有反馈延迟通路; (2)电路中不含记忆单元。 3.1 逻辑代数 逻辑代数是分析和设计逻辑电路不可缺少的数学工具。逻辑代数提供了一种方法,即使用二值函数进行逻辑运算。逻辑代数有一系列的定律和规则,用它们对数学表达式进行处理,可以完成对电路的化简、变换、分析和设计。

一、逻辑代数的基本定律和恒等式 常用逻辑代数定律和恒等式表:P90 加乘非 基本定律 结合律 交换律 分配律 反演律(摩根定律) 吸收律 其他常用恒等式 表中的基本定律是根据逻辑加、乘、非三种基本运算法则,推导出的逻辑运算的一些基本定律。对于表中所列的定律的证明,最有效的方法就是检验等式左边的函数和右边函数的真值表是否吻合。 证明: 证明如下: 二、逻辑代数的基本规则

组合逻辑电路的设计教案

2015年全省技工教育和职业培训 参评教案参评组别:B组 专业分类:电工电子 课程名称:电子技术基础 组合逻辑电路的设计 作者姓名:徐崇丽 单位:山东工程技师学院 通讯地址:_聊城市湖南西路8号 联系电话:0635-8426630

科目电子技术基础 授课 日期 2015.4.25 课 时 2 章节名称7-4 组合逻辑电路的设计班级鲁化电工班1401 授 课方式讲授法、启发法、练习法、演示法 作业 题数 1 作 业 拟 用 时 间 30 分钟 教学目的只有一堂让自己感动的课,才能感染你的学生 认知目标 掌握组合逻辑电路的设计步骤 能力目标 能够根据控制要求进行组合电路的设计 选 用 教 具 挂 图 1、投影仪 2、电子课件 3、教学电脑 4、黑板 重点 1、组合逻辑电路的设计步骤; 2、逻辑表达式的化简; 3、由最简表达式绘制组合逻辑电路 图 难 点 1、将控制要求转换成真值表 2、卡诺图化简表达式 教 学 回 顾 组合逻辑电路的分析步骤 说明 学生在学习了《组合逻辑电路的分析》基础上,对逻辑代数的化简、真值表、逻辑门电路等步骤都有了相应程度的理解,鉴于学生在以上环节反映出的问题,在新的课程讲解中将再次强调,借助练习帮助学生更好地掌握。

教学过程 时间分配教学内容 教学 过程 教学 方法 任务目标︵2分钟︶ 任务目标:三人表决器设计 课题引入:有一场卡拉OK比赛,学校请了三个评委,如果你是电 子设计师,怎么设计一个电路能够根据“少数服从多数”的原则让评委 对选手进行评判呢? 情境 导入 引起 注意 鼓 励 法 知识准备(约5分钟) 【例】试分析下列电路的逻辑功能。(板书步骤) 一、电路 二、表达式,化简得最简表达式 由图,可得ABC P=,P C P B P A L? + ? + ? = 化简,得C B B A L⊕ + ⊕ = 三、真值表 四、功能 “不一致”电路。 积极思考:功能电路 A B C L A B C L 0 0 0 0 1 0 0 1 0 0 1 1 1 0 1 1 0 1 0 1 1 1 0 1 0 1 1 1 1 1 1 0 老师 引导 学生 讨论 多媒 体演 示 板书 结果 讨 论 法 演 示 法 归 纳 法 & & & & ≥1 A B C L P

组合逻辑电路的分析

一.目的 由逻辑图得出逻辑功能 二.方法(步骤) 1.列逻辑式: 由逻辑电路图列输出端逻辑表达式; (由输入至输出逐级列出) 2.化简逻辑式: 代数法、卡诺图法; (卡诺图化简步骤保留) 3.列真值表: 根据化简以后的逻辑表达式列出真值表;4.分析逻辑功能(功能说明): 分析该电路所具有的逻辑功能。 (输出与输入之间的逻辑关系); (因果关系) (描述函数为1时变量取值组合的规律) 技巧:先用文字描述真值表的规律(即叙述函数值为1时变量组合所有的取值),然后总结归纳电路实现的具体功能。 5.评价电路性能。 三.思路总结:

四.注意: 关键:列逻辑表达式; 难点:逻辑功能说明 1、逻辑功能不好归纳时,用文字描述真值表的规律。(描述函数值为1时变量组合所有的取值)。 2、常用的组合逻辑电路。 (1)判奇(偶)电路; (2)一致性(不一致性)判别电路; (3)相等(不等)判别电路; (4)信号有无判别电路; (5)加法器(全加器、半加器); (6)编码器、优先编码器; (7)译码器; (8)数值比较器; (9)数据选择器; (10)数据分配器。 3、多输出组合逻辑电路判别: 1)2个输出时考虑加法器:2输入半加;3输入全加。 2)4输出时考虑编码器:4输入码型变换;编码器。

五.组合逻辑电路分析实例 例1 电路如图所示,分析电路的逻辑功能。 A B Y 解: (1)写出输出端的逻辑表达式:为了便于分析可将电路自左至右分三级逐级写出Z 1、Z 2、Z 3和Y 的逻辑表达式为: 321 3121Z Z Y BZ Z AZ Z AB Z ==== (2)化简与变换:将Z 1、Z 2、和Z 3代入到公式Y 中进行公式化简得: B A B A BZ AZ BZ AZ Z Z Z Z Y +=+=+=+==11113232 (3)列出真值表:根据化简以后的逻辑表达式列出真值表如表所示。

组合逻辑电路的设计.

实验4.9 组合逻辑电路的设计 一、实验目的 1.掌握组合逻辑电路的设计方法与测试方法 2.了解组合逻辑电路的竞争冒险现象 二、实验仪器与器材 1.集成与非门若干块 2.数字实验箱一台 三、实验原理 组合逻辑电路的设计是给定一定的逻辑功能,要求用门电路实现这一逻辑功能。用小规模集成电路(SSI)进行组合逻辑电路设计的一般步骤是: (1)根据实际问题对逻辑功能的要求,定义输入输出逻辑变量,列出真值表。(2)通过化简和变换得到符合要求(一般为与非关系)的最简逻辑表达式。(3)根据最简的逻辑表达式画出逻辑图,实现逻辑功能。 组合逻辑电路设计的关键之一,是对输入逻辑变量和输出逻辑变量作出合理的定义,在定义时,应注意以下几点: (1)有具有二值性的命题才能定义成输入或输出逻辑变量。 (2)把逻辑变量取1值的定义表达清楚。 组合逻辑电路的设计都是在理想的情况下进行的,即假定一切逻辑器件都没有延迟效应。但事实并非如此,信号通过任何导线和器件都存在一个响应时间。由于工艺上的原因,各器件的延迟时间离散型非常大,往往按照理想情况下设计的逻辑电路,在实际工作中有可能会产生错误输出。一个组合逻辑电路,在它的输入信号变化时,输出出现瞬时错误的现象称为组合逻辑电路的冒险现象。冒险现象直接影响数字设备的可靠性和稳定性,故要设法消除。 四、实验内容 1.设计一个交通灯报警电路。在三个输入变量中,当两个或两个以上输入端为 “1”时,属不正常状态,应该发出报警。 (1)逻辑抽象 输入变量为A、B、C三个交通灯,灯亮时认为是“1”,灯灭时为“0”。输出变量为Y,正常时,输出为“0”,灯不亮铃不响;出现故障时,输出为“1”,灯亮铃响。

组合逻辑电路的设计与仿真

组合逻辑电路的设计与仿真 学习目标 1.进一步掌握使用PROTEUS进行数字电路的设计与仿真的方法 2.掌握组合逻辑电路的功能测试方法 3.进一步理解半加器和全加器的逻辑功能 工作任务 按照逻辑功能的不同,数字电路可以分为组合逻辑电路和时序逻辑电路两大类,编码器、译码器、加法器、比较器等都是常见的组合逻辑电路。 本任务通过PROTEUS设计和仿真平台,完成与门、异或门、与或非门组成的组合电路,异或门和与非门组成的半加器、与非门组成的全加器,异或、与或非门组成的全加器等电路的测试。 一、知识回顾和准备 1.组合逻辑电路的特点 组合逻辑电路的主要特点是:在任一时刻电路的输出状态仅仅取决于该时刻电路的输入状态,而与电路原来所处的状态无关。从电路的形式上看,没有从输出端引回到输入端的反馈线,信号的流向仅只有从输入端到输出端一个方向。 2.半加器和全加器 半加器和全加器是算术运算电路中的基本单元,他们是完成二进制数相加的一种组合逻辑电路。只考虑两个加数本身,没有考虑由低位来的进位,称为半加器。全加器能进行加数、被加数和低位来的进位信号相加,并根据求和结果给出该位的进位信号。若有多位数相加,则可采用并行相加串行进位的方式来完成。 二、组合逻辑电路功能测试 1.从PROTEUS库中选取元器件,组成如图所示密码锁电路。 元器件明细表

元器件管脚图: 14 13 12 11 1 2 3 4 10 9 8 5 6 7 74LS04 六反相器 V CC 6A 6Y 5A 5Y 4A 4Y 1A 1Y 2A 2Y 3A 3Y GND 1 1 1 1 1 1 14 13 12 11 1 2 3 4 10 9 8 5 6 7 74LS20 双4输入与非门 V CC 2D 2C NC 2B 2A 3Y & 1A 1B NC 1C 1D 1Y GND &

相关主题
文本预览
相关文档 最新文档