当前位置:文档之家› 2010数字系统试卷B

2010数字系统试卷B

2010数字系统试卷B
2010数字系统试卷B

诚信应考,考试作弊将带来严重后果!

华南理工大学期末考试

《数字系统设计》试卷 B

注意事项:1. 考前请将密封线内各项信息填写清楚;

2. 所有答案请直接答在试卷上;

3.考试形式:闭卷;

4. 本试卷共大题,满分100分,考试时间120分钟

一、单项选择题(共10道,每题3分)

1、综合是EDA设计流程的关键步骤,在下面对综合的描述中,_________是错误的。

A. 综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;

B. 综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件;

C. 为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束;

D. 综合可理解为一种映射过程,并且这种映射关系是唯一的,即综合结果是唯一的。

2、大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是__ 。

A. FPGA全称为复杂可编程逻辑器件;

B. FPGA是基于乘积项结构的可编程逻辑器件;

C. 基于SRAM的FPGA器件,在每次上电后必须进行一次配置;

D. 在Altera公司生产的器件中,MAX7000系列属FPGA结构。

3、 VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述___________。

A. 器件外部特性;

B. 器件的内部功能;

C. 器件的综合约束;

D. 器件外部特性与内部功能。

4.不完整的IF语句,其综合结果可实现________。

A. 时序逻辑电路

B. 组合逻辑电路

C. 双向电路

D. 三态控制电路

5.下列语句中是并行语句的是________。

A. IF流程控制语句

B. CASE流程控制语句

C. FOR…LOOP语句

D. PROCESS语句

6. 下列电路模块中属于时序电路的是________。

A. 优先级编码器

B. 3-8译码器

C. JK触发器

D. 异或门电路

7.VHDL属于是_______描述语言。

A普通硬件 B行为 C高级D低级

8. 基于硬件描述语言HDL的数字系统设计目前最常用的设计法称为是_______设计法。

A自底向上 B 自顶向下C积木式D顶层

9、在VHDL中,_______不能将信息带出对它定义的当前设计单元。

A 信号

B 常量

C 数据

D 变量

10、_______不属于FPGA的基本组成。

A 可编程逻辑块CL

B B 可编程互连单元(I/O)

C SRAM

D 乘积项单元

二、简答题(共4道,每题5分)

1、简述信号与变量的区别,并举例说明两者的用法。

2、简述同步时序电路moore机的模型。

3、简述固有延时与传输延时的产生机理及两者之间的区别。

4、如何消除状态机输出的毛刺,给出两种解决方法。

三、设计题:根据下面综合后的LATCH和DFF的逻辑示意图,分别写出VHDL代码,包括实体和结构体(10分)。并简述LATCH和DFF的区别(2分)。

四、设计题(二选一作答,请在作答的题前打“√”,10分)

1.利用VHDL语言,设计一个通用的移位寄存器,数据宽度为4,复位优先级高于置位,置位优先级高于数据加载。实体说明如下,请补充结构体。

library IEEE;

use IEEE.std_logic_1164.all;

entity shft_reg is

port (

DIR : in std_logic; --dir为‘1’时右移

--dir为‘0’时左移

CLK : in std_logic; --时钟信号

RESET : in std_logic; --同步复位信号

SET : in std_logic; -- 同步置位信号

LOAD : in std_logic;-- 同步加载数据信号

SI : in std_logic; -- 串行输入数据

DATA : in std_logic_vector(3 downto 0);

--并行输入的预置数

data_out : out std_logic_vector(3 downto 0)

--并行数据输出

);

end entity;

architecture shft_reg_arch of shft_reg is

signal TEMP_data_out : std_logic_vector(3 downto 0);

begin

end architecture;

2. 下图所示电路是某数字系统的控制器。其中Z是系统数据处理器的状态信号;C1和C2是控制器输出的控作信号。试画出该控制器的ASM图。

五、设计题:下图是脉宽数控调制信号发生器逻辑图,此信号发生器是由两个完全相同的可自加载加法计数LCNT8组成的。8位可自加载加法计数器中CLK 是工作时钟,LD是预置数加载信号,D是预置数,CAO是计数溢出输出。

①写出可自加载加法计数LCNT8的VHDL代码。(8分)

②写出脉宽数控调制信号发生器的VHDL代码。(8分)

六、设计题:设计一个用于主干道与支道公路交叉路口的交通灯信号控制器,要求是优先保证主干道的畅通。平时处于“主干道绿灯,支道红灯”状态,只有在支道有车辆要穿行主干道时,才将交通灯切向“主干道红灯,支道绿灯”,一旦支道无车辆通过路口,交通灯又回到“主干道绿灯,支道红灯”的状态。此外,主干道和支道每次通行的时间不得短于30s,而在两个状态交换过程出现的“主黄,支红”和“主红,支黄”状态,持续时间都为4 s。用ASM图给出设计思路。(12分)

(完整版)数字电子技术基础模拟试题A及答案

74LS191功能表 LD CT D U / CP D 0 D 1 D 2 D 3 Q 0 Q 1 Q 2 Q 3 0 × × × d 0d 1 d 2 d 3 1 0 0 ↑ ×××× 1 0 1 ↑ ×d 0 d 1 d 2 d 3 加法计数 减法计数 命 题 人 : 审 题 人 : 命 题 时 间 : 系名 专业 年级、班 学号 姓名 数字电子技术 课程试题( 卷) 题号 一 二 三 四 五 六 七 八 九 十 总分 得分 (请将答案写在答题纸上,答在试卷上不给分) 一. 选择题(16分) 1.已知A B A B B A Y +++=,下列结果正确的是( ) a . Y =A b .Y=B c .A B Y += d .Y=1 2.已知A=(10.44)10(下标表示进制),下列结果正确的是( ) a . A=(1010.1)2 b .A=(0A .8)16 c . A=(12.4)8 d .A=(20.21)5 3.下列说法不正确的是( ) a .当高电平表示逻辑0、低电平表示逻辑1时称为正逻辑 b .三态门输出端有可能出现三种状态(高阻态、高电平、低电平) c .OC 门输出端直接连接可以实现正逻辑的线与运算 d .集电极开路的门称为OC 门 4.以下错误的是( ) a .数字比较器可以比较数字大小 b . 半加器可实现两个一位二进制数相加 c .编码器可分为普通全加器和优先编码器 d .上面描述至少有一个不正确 5.下列描述不正确的是( ) a .触发器具有两种状态,当Q=1时触发器处于1态 b .时序电路必然存在状态循环 c .异步时序电路的响应速度要比同步时序电路的响应速度慢 d .主从JK 触发器具有一次变化现象 6.电路如下图(图中为上升沿Jk 触发器),触发器当前状态Q 3 Q 2 Q 1为“100”,请问在时钟作用下,触发器下一状态(Q 3 Q 2 Q 1)为( ) a .“101” b .“100” c .“011” d .“000” 7.电路如下图,已知电路的当前状态Q 3 Q 2 Q 1 Q 0为“1100”,74LS191具有异步置数的逻辑功能,请问在时钟作用下,电路的下一状态(Q 3 Q 2 Q 1 Q 0)为( ) a .“1100” b .“1011” c .“1101” d .“0000” 8.下列描述不正确的是( ) a .EEPROM 具有数据长期保存的功能且比EPROM 在数据改写上更方便 b .DAC 的含义是数-模转换、ADC 的含义是模数转换 c .积分型单稳触发器电路只有一个状态 d .上面描述至少有一个不正确 二.判断题(9分) 1.TTL 输出端为低电平时带拉电流的能力为5mA ( ) 2.TTL 、CMOS 门中未使用的输入端均可悬空( ) 3.当决定事件发生的所有条件中任一个(或几个)条件成立时,这件事件就会发生,这种因果关系称为与运算。() 4.将代码状态的特点含义“翻译”出来的过程称为译码。实现译码操作的电路称为译码器。() 5.设计一个3进制计数器可用2个触发器实现( ) 6.移位寄存器除了可以用来存入数码外,还可以利用它的移存规律在一定的范围内构成任意模值n 的计数器。所以又称为移存型计数器( ) 7. 判断时序逻辑电路能否自启动可通过判断该电路是否存在有效循环来实现( ) 8. 施密特触发器电路具有两个稳态,而多谐振荡器电路没有稳态( ) 9. DRAM 需要定期刷新,因此,在微型计算机中不如SRAM 应用广泛( ) 三.计算题(8分) 1、在如图所示电路中,U cc =5V ,U BB =9V ,R 1=5.1kΩ, R 2=15kΩ,R c =1kΩ,β=40,请计算U I 分别为5V ,0.3V 时输出U O 的大小?。 密 线 封 A B

数字电路试卷与答案

电子科技大学二零零六至二零零七学年第二学期期末考试 试卷评分基本规则 数字逻辑设计及应用课程考试题中文A卷(120分钟)考试形式:闭卷考试日期2007年7月日课程成绩构成:平时20 分,期中20 分,实验0 分,期末60 分 一、填空题(每空1分,共5分) 1、CMOS与非门的未用输入端应连接到逻辑(1)电平或者输入信号连接端上。 2、DAC的功能是将(数字)输入成正比地转换成模拟输出。 512 EPROM可存储一个(9 )输入4输出的真值表。 3、4 4、74X163的RCO输出有效条件是:仅当使能信号(ENT)有效,并且计数器的状态是15。 5、已知二进制原码为( 001101) 2 , 问对应的8-bit的补码为( 00001101)2. 二、单项选择题:从以下题目中选择唯一正确的答案。(每题2分,共10分) 1、八路数据分配器的地址输入端有(B)个。 A. 2 B. 3 C. 4 D. 5 2、以下描述一个逻辑函数的方法中( C)只能唯一表示。 A.表达式 B.逻辑图 C.真值表 D.波形图 3、实现同一功能的Mealy型同步时序电路比Moore型同步时序电路所需要的( B )。 A. 状态数目更多 B. 状态数目更少 C. 触发器更多 D. 触发器更少 4、使用移位寄存器产生重复序列信号“1000001”,移位寄存器的级数至少为(D)。 A. 2 B. 3 C. 4 D.5 5、下列各逻辑函数式相等,其中无静态冒险现象的是(D)。 A. F=B’C’+AC+A’B B. F=A’C’+BC+AB’ C. F=A’C’+BC+AB’+A’B D. F=B’C’+AC+A’B+BC+AB’+A’C’

数字电子技术试题及答案(题库)

《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数( ),作为8421BCD 码时,它相当于 十进制数( )。 2.三态门电路的输出有高电平、低电平和( )3种状态。 3.TTL 与非门多余的输入端应接( )。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接( )电平。 5. 已知某函数??? ??+??? ??++=D C AB D C A B F ,该函数的反函数F =( ) 。 6. 如果对键盘上108个符号进行二进制编码,则至少要( )位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为( )V ,其输出高电平为( )V ,输出低电平为( )V , CMOS 电路的电源电压为( ) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( )根地址线,有( )根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( )位。 11. );Y 3 =( )。

12. 某计数器的输出波形如图1所示,该计数器是( )进制计数器。 13.驱动共阳极七段数码管的译码器的输出电平为( )有效。 二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( )个。 A .16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( )。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( )种。 A .15 B .8 C .7 D .1 7. 随机存取存储器具有( )功能。 A.读/写 B.无读/写 C.只读 D.只写 8.N 个触发器可以构成最大计数长度(进制数)为( )的计数器。

数字电子技术基础试题及答案

数字电子技术基础期末考试试卷 课程名称 数字电子技术基础 A 卷 考试形式 闭 卷 考核类型 考试 本试卷共 4 大题,卷面满分100分,答题时间120分钟。 一、填空题:(每小题2分,共10分) 1.二进制数(1011.1001)2转换为八进制数为 (13.41) ,转换为十六进为 B9 。 2.数字电路按照是否具有记忆功能通常可分为两类: 组合逻逻辑电路 、 时序逻辑电路 。 3.已知逻辑函数F =A ⊕B ,它的与非-与非表达式为 ,或与非表达式 为 。 4.5个变量可构成 32 个最小项,变量的每一种取值可使 1 个最小项的值为1。 5.555定时器构成的施密特触发器,若电源电压V CC =12V ,电压控制端经0.01μF 电容接地,则上触发电平U T+ = V ,下触发电平U T –= V 。 二、化简题:(每小题10分,共20分) 1.用代数法将下面的函数化为最简与或式:F=C ·[ABD BC BD A +++(B+C)D]

2. 用卡诺图法将下列函数化简为最简与或式: F(A 、B 、C 、D)=∑m (0,2,4,5,7,13)+∑d(8,9,10,11,14,15) 三、分析题:(每小题10分,共40分) 1.试分析题1图所示逻辑电路,写出逻辑表达式和真值表,表达式化简后再画出新的逻辑图。 题 1图 得分 评卷人

2.74161组成的电路如题 2 图所示,分析电路,并回答以下问题: (1)画出电路的状态转换图(Q 3Q 2Q 1Q 0); (2)说出电路的功能。(74161的功能见表) 题 2 图 …………………密……………………封…………………………装…………………订………………………线………………………

安徽大学期末试卷安徽大学数字电子技术考试试题A2010(定稿).doc

安徽大学2009—2010学年第二学期 《数字电子技术》考试试卷(A 卷) (闭卷 时间120分钟) 一、填空题(每空1分,共15分) 1、十进制数73.75的二进制数为__1001_001________,8421BCD 码为_01110011,01110101___________________ 2、当TTL 与非门的输入端悬空时相当于输入为 电平。 3、在数字电路中,不论哪一种逻辑门电路,其中的关键器件是MOS 管或BJT ,它们均可以作为_________器件。 4、时序逻辑电路在CP 脉冲作用下,由无效状态自动回到有效序列称为电路具有 _________。 5、TTL 反相器的输入级由BJT 构成,输出级采用推拉式结构,其目的是为了________________和增强带负载的能力。 6、当七段显示译码器的输出为高电平有效时,应选用共_____极数码管。 7、用4个触发器可以存储________位二进制数。 8、如果对键盘上108个符号进行二进制编码,则至少要______位二进制数码。 9、时序逻辑电路分为同步时序和_____________两大类。 10、几个集电极开路与非门(OC 门)输出端直接相连,配加负载电阻后实现_______功能。 11、表达式C B C B A F +=能否产生竞争冒险 (可能/不可能)。 12、表达式C AB F +=,用与非门实现的表达式是 。 13、一个四位串行数据,输入四位移位寄存器,时钟脉冲频率为1kHz ,经过 ms 可转换为4位并行数据输出。 14、多谐振荡器有 个稳定状态。 院/系 年级 专业 姓名 学号 答 题 勿 超 装 订 线 ------------------------------装----------------------------------- ----------订----------------------------------------线----------------------------------------

数字电子技术基础试题及答案 (1)

. 数字电子技术基础期末考试试卷 一、填空题 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题: 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度 T ,振荡频率f 和占空比q 。 ………………………密……………………封…………………………装…………………订………………………线……………………… 系别 专业(班级) 姓名 学号

图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。 图3 ………………封…………………………装…………………订………………………线………………………

D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 三、设计题:(每10分,共20分) 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 2.试用74161、3-8译码器和少量门电路,实现图5所示波形VO1、VO2,其中CP为输入波形。要求: (1)列出计数器状态与V01、V02的真值表;

数字电路试卷标准答案

标准答案及评分标准 课程名称:数字电路 适用专业(班级): 课程归属:理工学科部 是否可携带(填写计算器、词典等):计算器 开卷、闭卷:闭卷 学科部主任: 出卷人: ―――――――――――――――――――――――――――――――――― 一.选择题(每小题2分,共20分) 1.一位8421BCD 码译码器的数据输入线与译码输出线的组合是 【 C 】 A .4:6 B.1:10 C.4:10 D.2:4 2.若输入变量A 、B 全为1时,输出F=1,则其输入与输出的关系是 【 B 】 A.异或 B.同或 C.或非 D.与或 3.在下列逻辑电路中,不是组合逻辑电路的是 【 D 】 A. 译码器 B. 加法器 C. 编码器 D.寄存器 4.一个8选一的数据选择器,其地址输入(选择控制输入)端的个数是 【 C 】 A.4 B.2 C.3 D.16 5.最小项ABCD 的逻辑相邻最小项是 【 A 】 A. ABCD B. ABCD C. ABCD D. ABCD 6.同步计数器和异步计数器比较,同步计数器的最显著优点是 【 A 】 A .工作速度高 B.触发器利用率高 C.电路简单 D.不受时钟CP 控制 7.一位8421BCD 码译码器的数据输入线与译码输出线的组合是 【 C 】 A .4:6 B.1:10 C.4:10 D.2:4 8.组合逻辑电路通常由【 】组合而成。 【 B 】 A.触发器 B.门电路 C.计数器 D.锁存器 9.8线—3线优先编码器的输入为I0—I7 ,当优先级别最高的I7有效时,其输出0 12Y Y Y ??的值是 【 C 】 A.111 B.010 C.000 D.101 10.逻辑表达式A +B C = 【 C 】 A.A+B B. A+C C.(A+B )(A+C ) D.B+C

数字电子模拟试卷2010-2015

数字电子模拟试卷汇总2015 一、填空题 ( 每空 1 分,共 10 分 ) 1. 十进制数128 对应的二进制数是,对应8421BCD 码是________ , 对应的十六进制数是 ________ 。 2. 拉电流负载是门电路输出为____电平时的负载,灌电流负载是门电路输出为 ____电平时的负载。 3. TTL 或门的多余输入端应接____电平,或者与有用端。 4. D 触发器的特性方程为 _____________________ 。 5. 在C = 0,D = 1 时,函数的值为 _________ 。 6. 触发器能将缓慢变化的非矩形脉冲变换成边沿陡峭的矩形脉冲。 二、单项选择题 ( 每小题 2 分,共 10 分 ) ()1. 能使下图输出Y = 1 时的A,B 取值有 (A) 1种; (B) 2种; (C) 3种; (D) 4种。 ()2. 在 TTL 逻辑门中,为实现“线与”,应选用 (A) 三态门; (B) OC 门; (C) 异或门; (D) 与非门。 ()3. 下列电路中,不属于组合逻辑电路的是 (A) 编码器; (B) 译码器; (C) 数据选择器; (D) 计数器。 ()4. 下列电路中,常用于数据串并行转换的电路为 (A) 加法器; (B) 计数器; (C) 移位寄存器; (D) 数值比较器。()5. 能将正弦波变成同频率方波的电路为 (A)稳态触发器;(B)施密特触发器;(C)双稳态触发器;(D)无稳态触发器。 参考试卷(1)答案 一、填空题 ( 每空1分,共10分 ) 1. 10000000,000100101000,80; 2. 高,低; 3. 低,并联使用; 4. Q n+1=D; 5. 0; 6. 施密特;

数字电路测试题2答案

数字电路测试题2答案 一、 填空 1、(110110)2 = ( 54 )10 = ( 66 )8 = ( 01010100 )8421BCD 。 2、逻辑代数有 与 、 或 、 非 三种基本运算。 3、逻辑函数有 真值表 、 逻辑表达式 、 逻辑图 、 波形图 、 卡诺图 五种表示方法。 4、逻辑函数D C B B A F ??+=的反函数F =(A+B )(B +C+D),对偶函数 F '=(A +B)(B+D C +) 5、用卡若图化简函数,包围圈内相邻项的个数应为n 2。 6、C B AC C B A F ++=的最小项之和式F=A B C +A B C+ABC+A B C 7、常用集成芯片74LS00、74LS76、74LS151的名称分别是:四二输入与非门、双JK 触发器 、 八选一数据选择器。 8、如图1—1所示 : 图1—1 F 1= AB+BC 、 F 2= 1 、 F 3=A+B 。 9、如图1—2所示,电路的输出: 1)、当 C=0时, F = A+B 2)、当 C=1时, F = 高阻态 F 图1—2 10、JK 触法器是一种功能齐全的触发器,它具有 保持 、 置0 、 置1 、 翻 砖

的逻辑功能。 11、只具有 置0 和 置1 功能的触发器是D 触发器。 12、设计一个同步6进制计数器,需要 3 个触发器。 13、如图1—3所示,Q n+1=n Q 14、如图1—4所示:同步四位二进制计数器74LS161构成的是 十三 进制计数器。 15、施密特触发器 有两个稳定状态,有两个不同的触发电平,具有回差特性。多谐振荡器没有稳定状态,只有两个暂稳态,利用 电容的充电和放电 作用使电路能够产生自激振荡从而在输出端输出矩形脉冲。 J K J CP K Q CP CO LD CR Q 3 Q 2 Q 1 Q 0 0 0 0 1 CT T CT P CP & 1 1 74LS161 D 3 D 2 D 1 D 0 图1—3 图1—4 图1—5 16、如图1—5所示:由555定时器和电阻R 1、R 2及电容C 构成的电路是 多谐振荡器电路 。 17、A/D 转换是将 模拟信号转换为数字信号 的转换过程,通过 采样 、 保持 、 量化 、 编码 等四个步骤完成。 二、 将下列函数化简成最简与或式 (1)()C B BC BC A ABC A Y D C B A ++++=、、、 (用公式法化简) 解: Y=A+ABC+A BC +BC+B C =A(1+BC+BC )+C(B+B )=A+C (2)()C B A ABC C B A Y D C B A ++⊕=)(、、、 (用公式法化简) 解: Y=(A ⊕B)C+ABC+A B C = A BC+A B C+ABC+A B C =A C(B+B )+AC((B+B )=C

数字电路与逻辑设计试卷(有答案)

数字电路与逻辑设计(A 卷) 班级 学号 姓名 成绩 一.单项选择题(每题1分,共10分) 1.表示任意两位无符号十进制数需要( )二进制数。 A .6 B .7 C .8 D .9 2.余3码10001000对应的2421码为( )。 A .01010101 B.10000101 C.10111011 D.11101011 3.补码1.1000的真值是( )。 A . +1.0111 B. -1.0111 C. -0.1001 D. -0. 1000 4.标准或-与式是由( )构成的逻辑表达式。 A .与项相或 B. 最小项相或 C. 最大项相与 D.或项相与 5.根据反演规则,()()E DE C C A F ++?+=的反函数为( )。 A. E )]E D (C C [A F ?++= B. E )E D (C C A F ?++= C. E )E D C C A (F ?++= D. E )(D A F ?++=E C C 6.下列四种类型的逻辑门中,可以用( )实现三种基本运算。 A. 与门 B. 或门 C. 非门 D. 与非门 7. 将D 触发器改造成T 触发器,图1所示电路中的虚线框内应是( )。 图1 A. 或非门 B. 与非门 C. 异或门 D. 同或门 8.实现两个四位二进制数相乘的组合电路,应有( )个输出函数。 A . 8 B. 9 C. 10 D. 11 9.要使JK 触发器在时钟作用下的次态与现态相反,JK 端取值应为( )。 A .JK=00 B. JK=01 C. JK=10 D. JK=11 10.设计一个四位二进制码的奇偶位发生器(假定采用偶检验码),需要( )个异或门。 A .2 B. 3 C. 4 D. 5 二.判断题(判断各题正误,正确的在括号内记“∨”,错误的在括号内记

数字电子技术试卷及答案五套

数字电子技术试卷 一、选择题: A组: 1.如果采用偶校验方式,下列接收端收到的校验码中,( A )是不正确的 A、00100 B、10100 C、11011 D、11110 2、某一逻辑函数真值表确定后,下面描述该函数功能的方法中,具有唯一性的是(B)A、逻辑函数的最简与或式B、逻辑函数的最小项之和 C、逻辑函数的最简或与式 D、逻辑函数的最大项之和 3、在下列逻辑电路中,不是组合逻辑电路的是(D) A、译码器 B、编码器 C、全加器 D、寄存器 4、下列触发器中没有约束条件的是(D) A、基本RS触发器 B、主从RS触发器 C、同步RS触发器 D、边沿D触发器 5、555定时器不可以组成D。 A.多谐振荡器 B.单稳态触发器 C.施密特触发器 D.J K触发器 6、编码器(A)优先编码功能,因而(C)多个输入端同时为1。 A、有 B、无 C、允许 D、不允许 7、(D)触发器可以构成移位寄存器。 A、基本RS触发器 B、主从RS触发器 C、同步RS触发器 D、边沿D触发器 8、速度最快的A/D转换器是(A)电路 A、并行比较型 B、串行比较型 C、并-串行比较型 D、逐次比较型 9、某触发器的状态转换图如图所示,该触发器应是( C ) A. J-K触发器 B. R-S触发器 C. D触发器 D. T触发器 10.(电子专业作)对于VHDL以下几种说法 错误的是(A ) A VHDL程序中是区分大小写的。 B 一个完整的VHDL程序总是由库说明部分、实体和结构体等三部分构成 C VHDL程序中的实体部分是对元件和外部电路之间的接口进行的描述,可以看成是定义元件的引脚 D 结构体是描述元件内部的结构和逻辑功能 B组: 1、微型计算机和数字电子设备中最常采用的数制是--------------------------------( A ) A.二进制 B.八进制 C. 十进制 D.十六进制 2、十进制数6在8421BCD码中表示为-------------------------------------------------( B ) A.0101 B.0110 C. 0111 D. 1000

数字电子技术基础试卷及答案

数字电子技术基础试题(一) 一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 2.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是()。

A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路()。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为()。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为()。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式

数字电路试卷及答案

一.选择题 1十进制数3.625的二进制数和8421BCD 码分别为(D ) A 、11.11和11.001 B 、11.101和11.101 C 、11.01和11.011000100101 D 、11.101和0011.011000100101 2、逻辑函数F1、F2、F3的卡诺图如图所示,他们之间的逻辑关系是(B ) A 、F3=F 1·F2 B 、F3=F1+F2 C 、F2=F1·F3 D 、F2=F1+F3 00 01 11 10 0 1 1 1 1 1 F1 F2 F3 3 、和TTL 电路相比,CMOS 电路最突出的有点在于(C ) A 、可靠性高 B 、抗干扰能力强 C 、功耗低 D 、速度快 4、用1K ×4的DRAM 设计4K ×8位的存储器的系统需要的芯片数和地址线的根数是(C ) A 、16片 10根 B 、8片 10根 C 、8片 12根 D 、16片 12根 5、在图2中用555定时器组成的施密特触发电路中,它的回差电压等于(A ) A 、2V B 、3V C 、 4V D 、5V 图2 图3 6、为将D 触发器转换为T 触发器,图3所示电路的虚线框内应是(D ) A 、或非门 B 、与非门 C 、异或门 D 、同或门 7、在下列逻辑部件中,不属于组合逻辑部件的是(A ) A .寄存器 B 、编码器 C 、全加器 D 、译码器 8、某10位D/A 转换器,当输入为D=010*******B 时,输出电压为1.6V 。当输入D=1000010000B 时,输出电压为(B ) A 、3.15V B 、3.30V C 、3.60V D 、都不是 二.填空题 1、逻辑函数F=A ·(B+C )·1的反函数F =_____0+?+C B A ___________ 2、四选一数据选择器,AB 为地址信号,D 0=D 3=1,D 1=C ,D 2=c ,当AB=10时,输出F=__C__ 3、将模拟信号转化为数字信号,需要采用A/D 转换器。实现A/D 转换一般要经过采样、保持、量化和编码等4个过程。 00 01 11 10 0 1 1 1 1 00 01 11 10 0 1 1 1 1 1 1

数字电子技术基础试题及答案

D C B A D C A B ++《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1.?有一数码10010011,作为自然二进制数时,它相当于十进制数(147),作为8421BCD 码时,它相当于十进制数(93 )。 2.三态门电路的输出有高电平、低电平和(高阻)3种状态。 3.TTL 与非门多余的输入端应接(高电平或悬空)。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接(高)电平。 5. 已知某函数?? ? ??+??? ??++=D C AB D C A B F ,该函数的反函数F = ( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( 7)位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为(5 )V ,其输出高电平为(3.6)V ,输出低电平为(0.35)V , CMOS 电路的电源电压为( 3--18) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( 11)根地址线,有(16)根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( 100)位。 11. =(AB )。 12. 13 二、分) 1.?函数 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( C )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( C )个。 A .16 B.2 C.4 D.8

(完整版)数字电路自试题3答案

数字电路自测题3答案 一、填空题:(每空1分,共20分) 1.八进制数 (34.2 ) 8 的等值二进制数为 11100.01 ;十进制数 98 的 8421BCD 码为 10011000 。 2.试写出下列图中各门电路的输出分别是什么状态 (高电平、低电平) ?(其中(A )(B )为TTL 门电路,而(C )为CMOS 门电路) (A ) (B ) (C ) Y 1= 02 Y 2= 1 Y 3= 1 3.一个 JK 触发器有 2 个稳态,它可存储 1 位二进制数。 4. 单稳态触发器 有一个稳定状态和一个暂稳状态。 施密特触发器 有两个稳定状态、有两个不同的触发电平,具有回差特性。 多谐振荡器 没有稳定状态,只有两个暂稳态。以上三种电路均可由 555定时器 外接少量阻容元件构成。 5.常用逻辑门电路的真值表如右图所示,则 F 1 、F 2 、F 3 分别属于何种常用逻辑门。F 1 同或 ,F 2 与非门 ,F 3 或非 。 6.OC 门的输出端可并联使用,实现__线与____功能;三态门的输出状态有______0________、 1 、 高阻 三种状态。 7.时序逻辑电路的输出不仅和____输入 ___有关,而且还与___电路原来状态____有关。 二、选择题: (选择一个正确答案填入括号内,每题2分,共20分 ) 1.在四变量卡诺图中,逻辑上不相邻的一组最小项为:( D ) A .m 1 与m 3 B .m 4 与m 6 C .m 5 与m 13 D .m 2 与m 8 2.L=AB+C 的对偶式为:( B ) A B F 1 F 2 F 3 0 0 1 1 0 0 1 0 1 1 1 0 0 1 1 1 1 1 0 1

数字电子技术试卷试题答案汇总(完整版)

数字电子技术基础 试题库及答案汇总 一、 填空题(每空1分,共20分) 1、逻辑代数中3种基本运算是 , , 。 2、逻辑代数中三个基本运算规则 , , 。 3、逻辑函数的化简有 , 两种方法。 4、A+B+C= 。 5、TTL 与非门的u I ≤U OFF 时,与非门 ,输出 ,u I ≥U ON 时,与非门 ,输出 。 6、组合逻辑电路没有 功能。 7、竞争冒险的判断方法 , 。 8、触发器它有 稳态。主从RS 触发器的特性方程 , 主从JK 触发器的特性方程 ,D 触发器的特性方程 。 二、 选择题(每题1分,共10分) 1、相同为“0”不同为“1”它的逻辑关系是 ( ) A 、或逻辑 B 、与逻辑 C 、异或逻辑 2、Y (A ,B ,C ,)=∑m (0,1,2,3)逻辑函数的化简式 ( ) A 、Y=AB+BC+ABC B 、Y=A+B C 、Y=A 3、 A 、Y=A B B 、Y 处于悬浮状态 C 、Y=B A + 4、下列图中的逻辑关系正确的是 ( ) A.Y=B A + B.Y=B A + C.Y=AB 5、下列说法正确的是 ( ) A 、主从JK 触发器没有空翻现象 B 、JK 之间有约束 C 、主从JK 触发器的特性方程是CP 上升沿有效。 6、下列说法正确的是 ( ) A 、同步触发器没有空翻现象 B 、同步触发器能用于组成计数器、移位寄存器。 C 、同步触发器不能用于组成计数器、移位寄存器。 7、下列说法是正确的是 ( ) A 、异步计数器的计数脉冲只加到部分触发器上 B 、异步计数器的计数脉冲同

时加到所有触发器上 C、异步计数器不需要计数脉冲的控制8、下列说法是正确的是() A、施密特触发器的回差电压ΔU=U T+-U T- B、施密特触发器的回差电压越大,电 路的抗干扰能力越弱 C、施密特触发器的回差电压越小,电路的抗干扰能力越强 9、下列说法正确的是() A、多谐振荡器有两个稳态 B、多谐振荡器有一个稳态和一个暂稳态 C、多谐振荡器有两个暂稳态 10、下列说法正确的是() A、555定时器在工作时清零端应接高电平 B、555定时器在工作时清零端应接低电平 C、555定时器没有清零端 三、判断题(每题1分,共10分) 1、A+AB=A+B () 2、当输入9个信号时,需要3位的二进制代码输出。() 3、单稳态触发器它有一个稳态和一个暂稳态。() 4、施密特触发器有两个稳态。() 5、多谐振荡器有两个稳态。() 6、D/A转换器是将模拟量转换成数字量。() 7、A/D转换器是将数字量转换成模拟量。() 8、主从JK触发器在CP=1期间,存在一次性变化。() 9、主从RS触发器在CP=1期间,R、S之间不存在约束。() 10、所有的触发器都存在空翻现象。() 四、化简逻辑函数(每题5分,共10分) 1、 2、Y(A,B,C,)=∑m(0,1,2,3,4,6,8,9,10,11,14) 五、画波形图(每题5分,共10分) 1、 2、 六、设计题(每题10分,共20分)

数字电子技术试卷试题答案汇总(完整版)

数字电子技术试卷试题答案汇总(完整版)

数字电子技术基础试卷试题答案汇总 一、 填空题(每空1分,共20分) 1、逻辑代数中3种基本运算是 , , 。 2、逻辑代数中三个基本运算规 则 , , 。 3、逻辑函数的化简有 , 两种方法。 4、A+B+C= 。 5、TTL 与非门的u I ≤U OFF 时,与非门 ,输出 ,u I ≥U ON 时,与 非门 ,输出 。 6、组合逻辑电路没有 功能。 7、竞争冒险的判断方法 , 。 8、触发器它有 稳态。主从RS 触发器的特性方 程 , 主从JK 触发器的特性方程 ,D 触发器的特性方 程 。 二、 选择题(每题1分,共10分) 1、相同为“0”不同为“1”它的逻辑关系是 ( ) A 、或逻辑 B 、与逻辑 C 、异或逻辑 2、Y (A ,B ,C ,)=∑m (0,1,2,3)逻辑函数的化简式 ( ) A 、Y=AB+BC+ABC B 、Y=A+B C 、Y=A 3、 A 、Y=A B B 、Y 处于悬浮状态 C 、Y=B A + 4、下列图中的逻辑关系正确的是 ( ) A.Y=B A + B.Y=B A + C.Y=AB 5、下列说法正确的是 ( ) A 、主从JK 触发器没有空翻现象 B 、JK 之间有约束 C 、主从JK 触发器的特性方程是CP 上升沿有效。 6、下列说法正确的是 ( ) A 、同步触发器没有空翻现象 B 、同步触发器能用于组成计数器、移位寄存器。 C 、同步触发器不能用于组成计数器、移位寄存器。 7、下列说法是正确的是 ( ) A 、异步计数器的计数脉冲只加到部分触发器上 B 、异步计数器的计数脉冲 同时加到所有触发器上 C 、异步计数器不需要计数脉冲的控制 8、下列说法是正确的是 ( )

(完整版)数字电路试题及答案

2010-2011学年第二学期期末考试试卷 课程名称 电子技术基础(数字部分) (A 卷) 考试方式: 闭卷 考试时间 100分钟 题 号 一 二 三 四 五 六 七 八 总分 总分人 得 分 ……………………………………………………………………………………………………………… 得 分 一、选择题(每小题2 分,一共10题,共20分) 评卷人 1 : 十进数6的余3码是( ) A.0110 B.1001 C.1100 D.1010 2 : 在决定一事件结果的所有条件中要求所有的条件同时满足时结果就发生,这种条件和结果的逻 辑关系是( ) A.与 B.或 C.非 D.异或 3 : 在下图的逻辑符号中,能实现F=AB 逻辑功能的是( ) 4 : 同或的逻辑表达式为: A:L AB AB =+ B: L AB AB =+ C:L AB AB =+ D: L A B =+ 5 : SR 锁存器是一种_______稳态电路。( ) A.无 B.单 C.双 D.多 6:对于基本SR 锁存器,当SR 锁存器状态不确定时 ________ ( ) A : S=0;R=0 B: S=0;R=1 C : S=1;R=0 D: S=1;R=1 7:R-S 型触发器的“R ”意指( )。

A.重复 B.复位 C.优先 D.异步 8:下列电路中,不属于组合逻辑电路的是( ) A .译码器 B .全加器 C .寄存器 D .编码器 9:一个8选一数据选择器的数据输入端有_______个。( ) A.1 B.2 C.8 D.4 10:组合逻辑电路消除竞争冒险的方法有( ) A.前级加电阻 B .在输出端接入滤波电容 C .后级加缓冲电路 D.屏蔽输入信号的尖峰干扰 二、填空题(每空2 分,共30分) 1000000位 ,那么每位数据的占时间__________________; 2. 发光二极管构成的七段显示器有两种,分别是___________和_____________电路。 3. 三态门(TS 门)的输出状态除了高电平或低电平两种状态外,还有第三状态是 。 4. 触发器是一种对_________敏感的存储电路。 5. 当二进制数为负数时,其反码是_____________;当二进制数为正数时,其反码是________ 6. 组合逻辑电路不含具有__________功能的元件。 7. 二进制数111011.101转化成十进制数为__________.转化成八进制数为________. 8. 逻辑表达式:AB=AC;那么A=C 是否成立?________. 9. 2002个‘1’异或的结果是_____. 10.数据分配器就是带选通控制端的__________。 11.已知全加器的输入变量为A 、B 、C ,则全加器三变量之和S=(A ⊙B)⊕ ___。 ________位输出。 三、将下面逻辑表达式化简(每小题5 分,共10分) 1. L AB AC BC =++ 2. L AB AB AB AB =+++ 四、用卡诺图化简下面各式,并画出卡诺图(每小题10 分,共10分) 1. (,,,)(0,2,5,7,8,10,13,15)L A B C D m =∑ 五、综合题(每小题10 分,共30分)

数字电路试卷-答案

C. 000 D. 1 0 1 A. A+B B. A+C C. (A+B ) (A+C ) D. B+C 标准答案及评分标准 适用专业(班级): 是否可携带(填写计算器、词典等):计算器 学科部主任: 一 ?选择题(每小题2分,共20分) 1?一位8421BCD 码译码器的数据输入线与译码输出线的组合是 【C 】 A. 4:6 B.1:10 C.4:10 D.2:4 2 ?若输入变量 A 、B 全为1时,输出F=1,则其输入与输出的关系是 【B 】 A.异或 B. 同或 C. 或非 D. 与或 3?在下列逻辑电路中,不是组合逻辑电路的是 【D 】 A.译码器 B. 加法器 C. 编码器 D. 寄存器 6 ?同步计数器和异步计数器比较,同步计数器的最显著优点是 A 工作速度高 B.触发器利用率高 C.电路简单 D.不受时钟CP 控制 7?—位8421BCD 码译码器的数据输入线与译码输出线的组合是 A. 4:6 B.1:10 C.4:10 D.2:4 8 ?组合逻辑电路通常由【 】组合而成。 A.触发器 B.门电路 C.计数器 D.锁存器 A. 1 11 B. 010 10.逻辑表达式 A+BC= 课程名称:数字电路 课程归属:理工学科部 开卷、闭卷:闭卷 出卷人: 4 . 一个8选一的数据选择器,其地址输入 (选择控制输入)端的个数是 A. 4 B. 2 C. 3 5?最小项ABCD 的逻辑相邻最小项是 D. 1 6 【A 】 A. ABCD B . ABCD C. ABCD D. ABCD 9.8线一3线优先编码器的输入为 I0 —17,当优先级别最高的 I7有效时,其输出 的值是 【C 】

相关主题
文本预览
相关文档 最新文档