当前位置:文档之家› hfss若干问题讨论

hfss若干问题讨论

hfss若干问题讨论
hfss若干问题讨论

问题一、

HFSS报错at least one material assignment should have solve inside set

解决方案:

1、这种错误一般是由于所建模型是实心的,不是空心所致。所以在创建波导端口的时候要注意自己的设置,model是否改为vacuum。

2、model是PEC也没关系,外面加个Vaccum的壳子就行,就满足solve inside 。

问题二、

怎么用HFSS计算慢波结构的耦合阻抗?

根据公式:Kc=sq(Ez0)/(2sq(Beta0)P) 可以求出基波的耦合阻抗。

具体做法:field calculation

Qty→E→Scal→scalarZ(Z为轴向) →smooth→cmplx→cmplxMag Geom→point→选point1→OK→Value

Qty→Poynting→Scal→scalarZ(Z为轴向) →cmplx→Real

Geom→Surface→选slice→→Abs→Eval

这样你就得到Ezo和波印廷矢量。

在设slave与master边界时的相差可得Beta。

注意在建模时,建点point1和面slice。

最后一行,在选slice→→Abs是一积分符号。没有显示出来。

问题三、

在Ansoft Hfss10.0 每次运行中,都会提示这两个错误

Unable to save current mesh data for simulation:Setup1

Simulation completed with execution error on server:Local Machine 在网上有人说另存为或重命名可以解决,试过了之后是可以解决的

问题四、

请看图片中的文献描述,请问open-circuit λ/2 resonant怎么理解?是电磁波在这一段微带线上只传输半个波长么?

【友情提示】以下蓝字部分有误,请注意看下文中红色字部分的讨论微带线物理长度较长时呈电感性,为了谐振,应该串联一个电容。在微带线右端串联电容使得电长度减小,

因此传输半个波长所需的微带线物理长度增长了,即可以实现以较长的微带线与电容的串联实现谐振。

而微带线物理长度过短时呈电容性,为了谐振,应该串联一个电感。在微带线右端串联电感使得电长度增大。

因此因此传输半个波长所需的微带线物理长度减小了,即可以实现用较

短的微带线与电感的串联实现谐振。

以下是网上的说法,供参考

electrical length:

1. Of a transmission medium, its length expressed as a multiple or submultiple of the wavelength of a periodic electromagnetic or electrical signal propagating within the medium.

2. Of a transmission medium, its physical length multiplied by the ratio of (a) the propagation time of an electrical or electromagnetic signal through the medium to (b) the propagation time of an electromagnetic wave in free space over a distance equal to the physical length of the medium in question. Note: The electrical length of a physical medium will always be greater than its physical length. For example, in coaxial CABLES, distributed resistances, capacitances and inductances impede the propagation of the signal. In an OPTICAL fiber, interaction of the lightwave with the materials of which the fiber is made, and fiber geometry, affect the velocity of propagation of the signal.

3.Of an antenna, the effective length of an element, usually expressed in wavelengths.

Note 1: The electrical length is in general different from the physical length.

Note 2: By the addition of an appropriate reactive element (capacitive or inductive), the electrical length may be made significantly shorter or longer than the physical length. 电长度:1.对于传输媒介,它的长度被

表示为一个在媒介中传波的电或者电磁信号波长的倍数。

注释1:波长可能用弧度来表示,或者其它的角度单位来表示,如角度。

注释2:在同轴电缆和光纤中,传输速率大约为自由空间中的2/3。因

此,波长

大约为自由空间中波长的2/3,而电长度则大约为物理长度的

1.5 倍。

2.对于传输媒介,(电长度)用它的物理长乘以电或电磁信号在媒介中的传输

时间(时间为a)与这信号在自由空间中通过跟媒介物理长度一样的距离时所

需的时间(时间为b)的比(即电长度=物理长度*a/b)来考虑。

注释:对于一个物理媒介来说,它的电长度总是大于它的物理长度。例如,在同

轴电缆中,分布电阻、电容、电感阻碍了信号的传输;在光纤中,光波与

光纤材料之间的相互作用,以及光纤的几何结构,影响了信号的传输速率。

3.对于天线,天线的有效长度常表示为波长的倍数。

注释1.电长度跟物理长度通常是不一样的。

注释2.通过增加一个适当的电抗元件(电容或电感),电长度可以显著的短于或者长于物理长度。

以下是维基百科的解释:

Antennas which are the wrong length to be resonant, or which must operate at a different frequency at which they are not resonant, are often brought into resonance by loading; adding capacitors or inductors in series with them.[1] An antenna which is shorter than its resonant length has capacitive reactance. The capacitance can be compensated by adding an equal value inductance, a loading coil in series. The coil can be thought of as electrically lengthening the antenna. Similarly, an antenna which is longer than its resonant length has inductive reactance, and can be electrically shortened by adding a loading capacitor.

以上蓝字部分很混乱,经过与同学和cconline 讨论更正如下:

(1)串电容电长度减小,串电感电长度增大。

(2)电长度与物理长度呈正相关

“串电容,使整个coil的电容减小了,根据谐振公式,要想匹配,谐振频率也必定增加,也即电长度减小”,谐振频率增大对应谐振波长变短,也即物理长度shorten。

同理,串电感,整个coil电感增大,根据谐振公式,要想匹配,谐振频率也必定减小,也即电长度增大,谐振频率减小对应谐振波长变长,也即物理长度lengthen。

明确几点:

1)上文中提到的“1. Of a transmission medium, its length expressed

as a multiple or submultiple of the wavelength of a periodic electromagnetic or electrical signal propagating within the

medium. ”,这里的“its length”应理解为传输线的物理长度,而不是电长度,我一直将其作为电长度来理解,因此总是理不清。这句话的意思是传输线的长度通常用电磁波波长的倍数(或几分之一)来描述。2)“2. Of a transmission medium, its physical length multiplied by the

ratio of (a) the propagation time of an electrical or electromagnetic signal through the medium to (b) the propagation time of an electromagnetic wave in free space over a distance equal to the physical length of the medium in question.”

这句话的意思是:电长度=物理长度*a/b,可以得出电长度与物理长度呈正相关。

问题五、HFSS使用心得体会,个人认为HFSS的使用可以分为两个层次:

第一种是单纯的仿真,知道某种结构,设置一些结构变量直接用参扫或优化,寻找自己想要的结果,这是初学者和大多数使用者采用的方式(本人在某些时候也喜欢用,这一般是对于未知结构或者理论无法分析的结构设计时);

第二种结合电磁场微波理论,对自己关心的问题与结构先进行分析,仿真时做到有的放矢,更进一步的使用是以HFSS为基础针对性进行二次开发(这种情况下都会用到VBS)

1、对于初学者来说,在建立HFSS的仿真模型时,首先得有一个概念:HFSS建模默认情况下可以想象成在一个金属疙瘩内“挖出”模型,所以建模时画出的物体如果没有定义边界条件或者有其他的物体与其连

接时,其表面会默认为PEC边界;

2、一般情况下,许多使用者都直接利用HFSS自带的自适应网格剖分,这在多数情况下,尤其是结构比较简单时是可以的,但对于复杂的结构,如波导缝隙阵天线,有较大的局限性,因为缝隙上的电场一般都近似为余弦分布,在此上划分网格,实际上可以看成是用一多段线近似余弦,如果缝隙上剖分的网格点数少了,必然引起近似误差,对副瓣和远副瓣有影响,所以对于结构复杂、电磁场变化比较剧烈的局部需要进行手动网格剖分或者Seeding mesh

3、在HFSS 中存在三种扫频方式:快速、离散和插值,各有优缺点。

快速扫频顾名思义速度比较快,它是在现有网格的基础上直接计

算,但是在频带较宽是,容易出现错误的结果(对结果的分析需要自己判断);

离散扫频是最准确的,它对每个频点都会进行求解,所有求解的时间是单个频点的N倍;

插值扫频介于二者之间,它首先确定若干个频点进行求解,然后频点之间采用插值的方法计算。

4、良好的建模习惯是用好HFSS的有力方法:

建模时千万不要使用默认的物体名称,如box、cylinder等,多了会晕头转向,不利用修改和排错,同时尽量用变量名,即使该参数不用参扫;

如非必要,尽量不用相对坐标系,它会极大的影响后处理计算的速度,容易出错,最好的方法是在全部坐标系内,通过简单的操作把模型移动到指定的位置,建模的过程也是一个设计者思考的过程,可以反映建模者的分析脉络;

HFSS的VBS脚本程序是一个非常有用的东东,本人很喜欢用,它可以与MATLAB等其他软件程序结合使用,比如对于某个特定结构,有固定的规律或更好的优化途径,用协同仿真优化是比较好的选择。

问题六、

请问各位大虾,我要设计一个27—40 Ka波段全频段的基片集成波导到微带的转换,有以下几个问题请大家帮忙解决下:

1,如何从所选的频段得出基片集成波导的宽度以及特性阻抗?

2,在得到基片集成波导的阻抗后如何求得微带到波导线性渐变过度的微带线的长度L ?

PS:所选择的基片是RT-duroid 5880材料(相对介电常数εr=2.2),厚度h=0.254mm)

宽度约等于主模截止波长(介质中)除以2,修正量加上孔的直径。

阻抗算法可以参考矩形波导的,按照你给点参数估计大概是20欧姆左右。也就是说微带线宽度大概是50欧姆的两点几倍,具体你用论坛里的那个TLline小软件一算就得到。

过渡段长度选到线宽(粗的一端)的4~5倍即可。也可以用HFSS进行优化。

你这个基片很薄,加工后的器件比较脆弱容易形变,建议你准备硬板加固。

问题七、

波端口大小对特性阻抗的影响仿真总结。

最近在仿真一个特性阻抗为50欧姆的微带传输线,发现波端口的大小对特性阻抗有很大的影响。

以下是结合书本对波端口的认识,欢迎大家一起交流:

1.波端口的大小决定了端口的模式,尺寸越小,越有利于单模传输,这是因为波端口激励是假设和一个半无限长的矩形波导相连,因此波导的尺寸越小,截止波长越小,越有利于单模传输。在只进行端口求解时,可以观察Gamma参数的虚部来查看可以传输哪些模式,hfss fullbook 中有一个关于waveport的具体例子,大家可以查看。

2. 波端口的大小影响端口阻抗的计算,这就给端口特性阻抗的计算带来了影响,选择多大的端口才能符合实际。以微带为例,HFSS应用详解中给出了相应的参考波端口大小,当w>=h时,波端口的宽度一般设置为10w,当w

3. 波端口的尺寸也不是越小越好,因为进入毫米波段后,有时需要考虑高次模的影响,实际实践中也会引入高次模。再以微带传输线为例,为避免电场耦合到波端口边缘上,影响传输线的特性,波端口必须设置足够大的尺寸。

问题八、

1 在不考虑激励,对于波导而言,它本身能传导的模式可能出现能传导高阶模式而基模却截止的情况么?

2 对于波导而言,特定频率下,能传输的模式和激励没有关系吧?这算是波导本身的性质么?

对于第一个问题,不可能出现那样的情况。因此传播模式的条件是

f>fc(fc是模式的截止频率),而基模的截止频率小于高次模,所以能传导高阶模式必然能传输基模。

第二个问题,波导能传输的模式只与其自身的尺寸,材料等相关,可用本征模理论进行求解,与激励没有关系。

问题九、

感觉到大家对端口阻抗的概念比较模糊。首先需要区分两个概念:端口阻抗和端口输入阻抗。

端口阻抗一般是指天线馈电端口所接传输线所对应的特性特性阻抗,一般在端口处定义一个截面,求解器在求解时把该端口看作一个无限长均匀传输线。利用2D特征模求解器可以求得对应模式的特性阻抗。在HFSS中,要计算给定端口截面的特性阻抗只能用Waveport,在Result->create report->modal soluton data->Traces窗口中的PortZ0即是所求得的端口特性阻抗。如果在Waveport中设置了多个模式,则存在多个模式对应的PortZ0。利用Waveport端口激励,可以计算任意形状截面端口的特性阻抗。在Analysis->solution setup中设置如下,则仅启动2D特征模求解器计算端口模式而不进行进一步求解,通常成为一种检验馈电结果设置正确与否的方法。

quanta :

如果采用waveport,可以通过portz0来看特性阻抗。我认为lumped port 是一种理想化设置,其S参数的仿真结果与waveport相同。

ok!:

但只有在lumped port设置的阻抗Z0与waveport计算得到的端口Z0相同时,得到的VSWR才相同。

tianke:

据我理解,好像只有设waveport,才可以算出特性阻抗,也就是portz0值。

OK!:

在某些情况下,无法设置一个明确的端口面或者已知所连接电缆的特性阻抗(通常为50欧),这时用lumped port更为方便(不启动2D特征模求解器求解)。这时实际上是强制端口阻抗为某一值。因此,当设置lumped port时,得到的PortZ0始终是一常量。

tonyshore:

好象用lumped port 的话,不管当时有没设置归一化阻抗,最后得出来结果都是50欧,是不是这样呢?还是我做的设置出错了..? 另外一个概念是输入阻抗,即从天线端口看进去的阻抗,必须进行完全求解才能得到。在Result->create report->modal soluton data->Traces窗口中的Z parameter中可以得到输入阻抗。注意:仅对于单端口Z11才表示输入阻抗Zin,对于多端口,Z11仅表示其余端口短路条件下的输入阻抗。e族浪子:

active z然后选中re,im就可以看到了。

wrong!:

active z是存在多端口激励条件下的Z,与上述Z parameter类似,不是Z0。

另外,关于归一化,是用于对S参数矩阵进行归一化,目的是用于标准的归一化S参数,比如用于电路仿真。如对Znorm进行归一化,将按照S归一化公式重新计算S参数。相应的Z0变为Znorm。

总结:

如果是Waveport,则端口阻抗是2D特征模求解启得到的Zo;

如果是lumped port 则端口阻抗是在lumped port 设置的全端口阻抗值,如图所示

如果设置了对归一化(Znorm),则影响S参数的输出值,同时将Zo 强制置为Znorm。

问题十、

波导可以传导高阶模式而不能传导基模的情况么?---------------不可能波导可以传导高阶模式而不传导基模的情况么?------------------无基模激励

问题十一、

本身在仿真时就设定的是一种模式,那怎么可能有高次模的影响呢?我想知道波端口的尺寸影响什么,难道说即使我仿真时只定义了一种模式,由于尺寸不合理而导致高次模的产生?

通过合理的尺寸和材料设计可以理论上满足单模激励,但即便是单模激励仍可能被测试结构激发出高次模,如果高次模在到达各端口前凋落掉,那就不用考虑了,如果没有足够凋落,那么就对S参数产生影响,误差就得考虑。

问题十二、

在不考虑辐射损耗的情况下,S参数的理解应该是基于传输矩阵法的。S(port1,port1)应该是代表着端口进入电极后的反射,可以理解为反射系数。

S(port1,port2)应该是代表着端口1到端口2的传输,可以理解为传输系数。

问题十三、

在超高频(uhf)rfid标签天线的设计中,需要用到共轭匹配,论坛中进行过探讨,但好像不是很正确!

HFSS中S11的计算公式为:

s11=20*log10(|(Zant-Zchip)/(Zant+Zchip)|) (1)

如果利用lumport,且将端口阻抗设置成芯片阻抗的共轭,则计算得到的s11为

s11=20*log10(|(Zant-Zchip*)/(Zant+Zchip*)|) (2)

而共轭匹配的计算公式为:x[wq]q#*

s11=20*log10(|(Zant-Zchip*)/(Zant+Zchip)|) (3)

这个公式才是最严格的,利用这个公式设计的天线,要得到宽频带的s11,需要多个谐振频点才能实现!

本人在设计天线过程中用到第二个错误公式,结果整整浪费了4个多月的时间,希望大家不要重蹈覆辙!

问题十四、

1.根据你的意思,就是说端口2默认情况下是没有加激励的了?

2.如果想看S12,就是说要在端口2上加激励,要进行如何修改呢?是否是在hfss——fields——edit sources 里面进行的修改呢?如何改?

3.你知道hfss——fields——edit sources 中的scaling factor 是用来做什么的吗?

答:

1 默认的2端口是没有激励的。

2,3 hfss默认激励是1W的,scaling是跟1W的比值,取成0就是没

激励,取成1就是1W 。

问题十五、

双层微带天线是不是下层的激励贴片一定小于上层的辐射贴片呢?我看了好多论文和书都是这样的,但是我仿真的时候,只有下层的激励贴片大于上层的辐射贴片时才能得到较好的端口匹配,请问有人做过吗?求解释???

双层微带贴片,可以加大天线带宽。增益也会相应的提高,两贴片之间的距离比较关键。至于大小,我仿真过在1/2波长左右区别不是很大。我看过很多产品上层贴片一般会比下层贴片小,也有个别相反的。总的来讲上层贴片相当于八木的引向器。

问题十六、

微带天线中寄生贴片的作用是什么?

1、文献说由于寄生的贴片在天线中可形成谐振回路,相当于出现2个谐振点,当谐振回路的谐振频率和天线本身谐振频率接近时,便可认为是拓宽了天线的阻抗带宽。进而,可以增加多个寄生贴片从而卓次递归的拓宽其阻抗带宽。

2、我看到一个文献,是双频的,增加寄生贴片可以

在不影响高频的情况下,把低频向左移。3、一个高手说是可能是因为寄生贴片引入了高次模,进而增加带宽。道理可以从波导类推一下,如果单一模式,截止频率比较低,多模的引入可以提高带宽。

问题十七、

求微带天线阵增加带宽方法?

1:使用厚的、低介电常数的介质板;

2:使用电磁耦合馈电形式;

3:加载U型等槽缝;

4:添加功分器、有阻抗变换作用;

5:阻抗调配的微带传输线馈电。

基于HFSS矩形微带贴片天线的仿真设计报告

.. .. .. 矩形微带贴片天线的仿真设计 实验目的:运用HFSS的仿真能力对矩形微带天线进行仿真 实验容:矩形微带天线仿真:工作频率7.55GHz 天线结构尺寸如表所示: 名称起点尺寸类型材料 Sub -14.05,-16,0 28.1,32,0.794 Box Rogers 5880 (tm)GND -14.05,-16,-0.05 28.1,32,0.05 Box pec Patch -6.225,-8,0.794 12.45 , 16, 0.05 Box pec MSLine -3.1125,-8,0.794 2.49 , -8 , 0.05 Box pec Port -3.1125,-16,-0.05 2.49 ,0, 0.894 Rectangle Air -40,-40,-20 80,80,40 Box Vacumn 一、新建文件、重命名、保存、环境设置。 (1)、菜单栏File>>save as,输入0841,点击保存。 (2). 设置激励终端求解方式:菜单栏HFSS>Solution type>Driven Termin ,点击OK。

(3)、设置模型单位:3D Modeler>Units选择mm ,点击OK。 (4)、菜单栏Tools>>Options>>Modeler Options,勾选”Edit properties of new pri”, 点击OK。 二、建立微带天线模型 (1)、插入模型设计 (2)、重命名

输入0841 (3)点击创建GND,起始点:x:-14.05,y:-16,z:-0.05,dx:28.1,dy:32,dz:0.05 修改名称为GND, 修改材料属性为 pec, (4)介质基片:点击,:x:-14.05,y:-16,z:0。dx: 28.1,dy: 32,dz: 0.794, 修 改名称为Sub,修改材料属性为Rogers RT/Duriod 5880,修改颜色为绿色,透明度0.4。

频率选择表面分析方法

频率选择表面的研究起始于上世纪60年代,国内外大批学者均为之投入了大量精力进行广泛深入的工作,提出了各种不同的数学分析与计算方法,如交分法,等效电路法,模式匹配法,谱方法等,这些计算方法主要可分为两大类,即标量分析方法与矢量分析方法。前者包括变分法,等效电路法等,其仅可通过计算获得关于反射透射系数的幅度信息,通用性差,但计算量小,耗时短;后者包括模式匹配法,谱方法等,其通过计算不仅可获得反射透射系数的幅度信息,还可以获得相关的相位与极化信息,通用性强,但计算量大且耗时长。 值得一提的是,国内研究目前普遍采用模式匹配法进行计算分析,该方法不仅适用于求解任意单元形 状及排列方式的无限大平面FSS 结构,还可应用于多层的FSS 以及均匀层状衬底等组合结构。但这种方法 依然存在不足,即处理复杂多层FSS 时计算量非常大,而且在数值求解过程中,选择适合复杂单元形状的 基函数非常困难,因而难以保证解的收敛速度,降低了有效性。 与一般模式匹配法相比,谱方法原理上也能分析任意单元形状的FSS 结构,在求解无限大FSS 问题时 与模式匹配法相当,该方法在求解过程中要求选取合适的基函数来保证收敛性,但可直接用于求解有耗FSS 的散射问题,与迭代技术相结合可以求解有限尺寸的FSS 散射问题。并且谱方法利用了场的周期性,注意 电流分布的周期性特征,所以求解模型简单,计算量小,是一种很好的方法。 谱展开法 在周期性结构的分析中,谱展开法是一种重要的分析方法。 Floquet 定理; 一维周期结构如图2.5所示。设入射平面波z TM ()0j wt z E E e ?-= 则空间沿x 方向相距为m 个周期的两点之间场为 cos ,(,,)x jm D x x mD y w x y w e βθ-ψ( +,) =ψ 式中ψ 为电磁场的某一分量。m 为一整数,β为传播常数,x D 为沿x 方向的周期长度,θ为入射角,上式即是Floquet 定理。 如果这个周期结构的单元是偶极子等贴片型类型,则入射场在单元上将感应出电压,并产生电流,如果我们将其中一个单元的电流作为基准单元电流(表示为0I ),则距它m 个周期的单元电流表示为m I 。根据Floquet 定理,两者的关系为 cos 0x j mD m I I e βθ-=

基于HFSS的天线设计

一、实验目的 ?利用电磁软件An soft HFSS设计一款微带天线。 ?微带天线要求:工作频率为2.5GHz带宽(回波损耗S11<-10dB)大于5% ?在仿真实验的帮助下对各种微波元件有个具体形象的了解。 二、实验原理 1、微带天线简介 微带天线的概念首先是由Deschamps于1953年提出来的,经过20年左右的 发展,Munson和Howell于20世纪70年代初期制造出了实际的微带天线。微带天线由于具有质量轻、体积小、易于制造等优点,现今已经广泛应用于个人无线通信中。 图1是一个简单的微带贴片天线的结构,由辐射源、介质层和参考地三部分 组成。与天线性能相关的参数 包括辐射源的长度L、辐射源的宽度W介 质层的厚度h、介质的相对介电常数r和 损耗正切tan、介质层的长度LG和宽度WG 图1所示的微带贴片天线是 图1:微带天线的结构 采用微带天线来馈电的,本次将要设计的 矩形微带贴片天线采用的是同轴线馈电,也就是将同轴线街头的内心线穿过参考地和介质层与辐射源相连接。 对于矩形贴片微带天线,理论分析时可以采用传输线模型来分析其性能,矩形贴片微带天线的工作主模式是TM10模,意味着电场在长度L方向上有g/2的 改变,而在宽度W方向上保持不变,如图2 (a)所示,在长度L方向上可以看做成有两个终端开路的缝隙辐射出电磁能量,在宽度W方向的边缘处由于终端开路,所以电压值最大电流值最小。从图 2 (b)可以看出,微带线边缘的电场可 以分解成垂直于参考地的分量和平行于参考地的分量两部分,两个边缘的垂直电场分量大小相等、方向相反,平行电场分量大小相等,方向相反;因此,远区辐射电场垂直分量相互抵消,辐射电场平行于天线表面。

频率选择表面(学习笔记)

FSS--相关知识整理 一、基本概念 1、频率选择表面(Frequency Selective Surface ,FSS) 是一种二维周期阵列结构,就其本质而言是一个空间滤波器,与电磁波相互作用表现出明显的带通或带阻的滤波特性。FSS 具有特定的频率选择作用而被广泛地应用于微波、红外至可见光波段。 2、分类 频率选择表面有两种:贴片类型也叫介质类型,开槽类型也叫波导类型。 贴片类型是在介质表面周期性的标贴同样的金属单元,一般而言是作为带阻型滤波器的;低频透射,高频反射; 开槽类型是在金属板上周期性的开一些金属单元的槽孔,从频率特性相应上看是带通型频率选择表面;低频反射,高频透射。 3、频率选择表面的应用 雷达罩:通过安装频率选择表面减少雷达散射截面积。 卡塞哥伦天线副反射面:实现波束的复用与分离。 准光滤波器:实现波束的复用与分离。 吸波材料:基于高损耗的介质,可以实现大带宽的吸波材料。 极化扭转:折线形的频率选择表面是一个线极化变成圆极化的极化扭转器。 天线主面:降低带外的噪声。 4、滤波机理 图1 频率选择表面的滤波机理

频率选择表面和一般意义上的通过电容、电感组成的滤波器在目的上是一致。而滤波机理和有很大的区别(图1)。最大的区别是,一般的滤波器作用的对象是电路中的电流,而且一般滤波器我们主要关心通带的波形是不是有畸变,而对于阻带就就不必关心了。而频率选择表面是对于场的滤波器,不论是透射波还是反射波都是十分重要,不仅仅要关注其幅度、相位的变化,还要关心交叉极化和热损耗等。 A、贴片类型:在介质表面周期性的标贴同样的金属单元。 图2 贴片类型频率选择表面的等效电路 滤波机理: 假设电磁波入射从左向右入射到贴片型频率选择表面上。在平行于贴片方向的电场对电子产生作用力使其振荡,从而在金属表面上形成感应电流。这个时候,入射电磁波的一部分能量转化为维持电子振荡状态所需的动能,而另一部分的能力就透过金属丝,继续传播。换言之,根据能量守恒定律,维持电子运动的能量就被电子吸收了。在某一频率下,所有的入射电磁波能量都被转移到电子的振荡上,那么电子产生的附加散射场可以抵消金属导线右侧的电磁波的出射场,使得透射系数为零。此时,电子所产生的附加场同时也向金属导线左侧传播,形成发射场。这种现象就是谐振现象,该频率点成为谐振点。直观的看,这个时候贴片型频率选择表面就成反射特性。 再考虑另一种情况,入射波的频率不是谐振频率的时候,只有很少的能量用于维持电子做加速运动,大部分的能量都传播到了贴片的右侧。在这种情况下,贴片对于入射电磁波而言,是“透明”的,电磁波的能量可以全部传播。这个时候,贴片型频率选择表面就成透射特性。 一般而言,贴片类型是作为带阻型滤波器的。 等效电路:LC串联 B、贴片类型:在金属板上周期性的开一些金属单元的槽孔。

用Sonnet Agilent HFSS设计微带天线概要

用Sonnet & Agilent HFSS设计微带天线 摘要:以一同轴线底馈微带贴片为题材,分别用Sonnet 软件及Agilent Hfss 软件进行Simulate,分析其特性。并根据结果对这两个软件作一比较。 天线模型: 天线为微带贴片天线,馈电方式为50Ω同轴线底馈,中心频率3GHz ξ=,尺寸56mm*52mm*3.175mm 基片采用Duroid材料 2.33 r Patch :30mm*30mm 馈电点距Patch中心7mm处。 参见下图。 一.Sonnet 参数设置如下图:

介质层按照天线指标予以设置: 画出Antenna Layout.

Top view Bottom view 其中箭头所指处为via,并在GND层加上via port. 即实现了对Patch的底馈。 至此,Circuit Edit完成。下一步对其进行模拟。Array模拟结果: S11,即反射系数图:

可见中心频率在3G附近,。 进一步分析电流分布: 在中心频率的附近,取3G,3.1G作表面电流分布图:

可见,在中心频率的电流分布较为对称。符合设计的要求。 远区场方向图: 选取了若干个频率点绘制远区场增益图。从中可以看到,中心频率的增益较边缘为大。 符合设计的要求。

二.Agilent Hfss Agilent Hfss (high frequency structure simulator)是AGILENT公司的一个专门模拟高频无源器件的软件。较现在广泛应用的ANSOFT HFSS功能类似,但操作简单明了。能在平面结构上建模天线不同,Agilent Hfss可以精确地定义天线的立体结构。并可将馈电部分考虑在模拟因素内,按要求设定辐射界面,等等。可能在本文的例子中,由于结构比较简单,并不能充分体现这一点,但也应可见一斑。 本例与HFSS HELP中所附带的例子较为类似,因此我参照HELP文件,在HFSS5.6环境下较为顺利的完成了模拟。 用HFSS模拟天线,主要分Draw Model、Assign Material、Define Boundary、Solve、Post Process 五个步骤: ⒈Draw Model: HFSS采用的是相当流行的AUTOCAD的ENGINE,因此绘制方法与AUTOCAD大同小异,这里不在赘述。我先分Air Box、Substrate Box、Coax Line、Patch几个部分画好模型。其中COAX LINE 包括内导体(圆柱)及外层介质及外导体(环柱);PATCH为一平面矩形,AIR BOX、SUBSTRATE BOX 为长方体。 同时,由于基板,同轴线之间会有重叠,所以应用3D OBJECTS 菜单中的Subtract命令将 重叠部分减去。

HFSS 天线设计实例

HFSS 天线设计实例 这是一种采用同轴线馈电的圆极化微带天线 切角实现圆极化 设计目标!(具体参数可能不精确,望大家谅解)主要讲解HFSS操作步骤! GPS微带天线:介质板:厚度:2mm,介电常数:2.2,大小:100mm*100mm 工作频率:1.59GHz,圆极化(左旋还是右旋这里不讲了哈),天线辐射在上半平面覆盖! 50欧同轴线馈电, 1、计算参数 首先根据经验公式计算出天线的基本参数,便于下一步建立模型。 贴片单元长度、宽度(正方形贴片长宽相等)、馈电点位置,分离单元长度.下表是经HFSS分析后选择的一组参数:

2、建立模型 首先画出基板50mm*50mm*2mm 的基板 起名为substrate 介电常数设置为如图2.2的,可以调整color颜色和transparent透明度便于观察 按Ctrl+D可以快速的使模型全可见!按住Ctrl+Alt键,拖动鼠标可以使3D模型自由旋转同理,我们画贴片:

1、在基板上画出边长65mm(假设用公式算出的是这么多)的正方形 2、起名为patch,颜色选绿色,透明度设为0。5 画切角是比较麻烦的 1、用画线条工具,画三线段,坐标分别是0.5.0, 5.0.0, 0.0.0 2、移动三角形,选中polyline1,选菜旦里edit\Arrange\move,先确定坐标原点或任一点为基准点,将三角形移动到左上角和贴片边沿齐平。 3、复制三角形,选中polyline1,选菜单里edit\arrange\duplicate\around axis,相对坐标轴复制,角度换成180,然后在右下角就出现了相对称的另一个三角形。 4、从patch上切掉对角上的分离单元polyline1和polyline1_1: 选中patch、polyline1和polyline1_1,选菜单里3D modeler\Boolean\Subtract 把polyline1和polyline1_1从patch上切掉最后剩下 先在介质板底面画一个100mm*100mm的正方形作为导电地板。起名为 ground 下面就是画馈源了:我们采用同轴线馈电,有两种建模方法: 1、在馈电点画一0.5mm的铜柱代表同轴线内导体,起名为feed 2、在介质板底面馈电点处画一1.5mm的圆,起名为port 3、复制port为port1,复制feed为feed1 4、复选port和feed1,执行菜单里3D Modeler\Boolean\Subtract,使port成为一个内径0.5mm外径1.5mm

Ansoft分析频率选择表面FSS

Ansoft分析频率选择表面FSS Ansoft高级培训班教材 Ansoft分析频率选择表面FSS 苏涛谢拥军编著 西安电子科技大学Ansoft培训中心 Ansoft分析频率选择表面FSS 第一章序言 第二章创建项目 第三章建立几何模型 第四章设定无穷阵列和边界第五章设定入射波 第六章设定解 第七章解的后处理 第一章序言 本文讲解使用Ansoft产品分析频率选择表面。由于频率选择表面是场的问题,所以主要采用平面电磁分析(Ansoft Designer中的Ensemble)和高频结构仿真(HFSS)。 现在,Ansoft在Designer里集成了PMM(Periodic Moment Method),就像过去在HFSS中集成Master/Slave边界一样,给工程师带来了2D和3D阵列的分析工具,而无需自己编程。再一次,增加了收益。 下面就是使用Ansoft Designer分析FSS的实例。 第二章创建项目

图1 Ansoft Designer界面 1、在Project Manager窗口中Project1默认工程上右击鼠标,选择Insert 项目,插入Planar EM Design 图2 插入一个Planar EM Design 也可以在菜单条目中直接点击Planar EM Design的图标 图2 菜单条中直接点击图标加入Planar EM Design 2、在弹出的Layout窗口中点击None按钮,表示自己定义基板。

图3 选择基板窗口 3、存储工程。点击存盘图标(或选择菜单File/Save),输入工程名字hexagon,并存盘。最终工作界面如图4所示。 图4 最终工作界面 第三章建立几何模型 1、建立基板结构。 (1)点击工具栏图标

频率选择表面简介

频率选择表面综述 1 滤波原理 两种类型: 1 贴片型(介质型) 在介质表面周期性的标贴同样的金属单元。 滤波机理: 假设电磁波入射从左向右入射到贴片型频率选择表面上。在平行于贴片方向的电场对电子产生作用力使其振荡,从而在金属表面上形成感应电流。这个时候,入射电磁波的一部分能量转化为维持电子振荡状态所需的动能,而另一部分的能力就透过金属丝,继续传播。换言之,根据能量守恒定律,维持电子运动的能量就被电子吸收了。在某一频率下,所有的入射电磁波能量都被转移到电子的振荡上,那么电子产生的附加散射场可以抵消金属导线右侧的电磁波的出射场,使得透射系数为零。此时,电子所产生的附加场同时也向金属导线左侧传播,形成发射场。这种现象就是谐振现象,该频率点成为谐振点。直观的看,这个时候贴片型频率选择表面就成反射特性。 再考虑另一种情况,入射波的频率不是谐振频率的时候,只有很少的能量用于维持电子做加速运动,大部分的能量都传播到了贴片的右侧。在这种情况下,贴片对于入射电磁波而言,是“透明”的,电磁波的能量可以全部传播。这个时候,贴片型频率选择表面就成透射特性。 一般而言,贴片类型是作为带阻型滤波器的。 等效电路:LC串联

2 开槽型(波导型) 在金属板上周期性的开一些金属单元的槽孔。 滤波机理: 当低频电磁波照射开槽型频率选择表面时,将激发大范围的电子移动,使得电子吸收大部分能量,且沿缝隙的感应电流很小,导致透射系数比较小。随着入射波频率的不断升高,这种电子移动的范围将逐渐较小,沿缝隙流动的电流在不断增加,从而透射系数会得到改善。当入射电磁波的频率达到一定值时,槽两侧的电子刚好在入射波电场矢量的驱动下来回移动,在缝隙周围形成较大的感应电流。由于电子吸收大量入射波的能量,同时也在向外辐射能量。运动的电子透过偶极子槽的缝隙向透射方向辐射电场,此时的偶极子槽阵列反射系数低,透射系数高。当入射波频率继续升高时,将导致电子的运动范围减小,在缝隙周围的电流将分成若干段,电子透过槽缝隙辐射出去的电磁波减小,因此,透射系数降低。而对于在远离缝隙的金属板上所产生的感应电流则向反射方向辐射电磁场,并且由于高频电磁波的电场变化周期的限制了电子的运动,辐射能量有限。因此,当高频电磁波入射时,透射系数减小,反射系数增大。 从频率特性相应上看,开槽型频率选择表面是带通型频率选择表面。 等效电路:LC并联。

基于HFSS的天线设计教材

图1:微带天线的结构 一、 实验目的 ●利用电磁软件Ansoft HFSS 设计一款微带天线。 ◆微带天线要求:工作频率为2.5GHz ,带宽 (回波损耗S11<-10dB)大于5%。 ●在仿真实验的帮助下对各种微波元件有个具体形象的了解。 二、 实验原理 1、微带天线简介 微带天线的概念首先是由Deschamps 于1953年提出来的,经过20年左右的发展,Munson 和Howell 于20世纪70年代初期制造出了实际的微带天线。微带天线由于具有质量轻、体积小、易于制造等优点,现今已经广泛应用于个人无线通信中。 图1是一个简单的微带贴片天线的结构,由辐射源、介质层和参考地三部分组成。与天线性能相关的参数 包括辐射源的长度L 、辐射源的 宽度W 、介质层的厚度h 、介质 的相对介电常数r ε和损耗正切 δtan 、介质层的长度LG 和宽度 WG 。图1所示的微带贴片天线是采用微带天线来馈电的,本次将要设计的矩形微带贴片天线采用的是同轴线馈电,也就是将同轴线街头的内心线穿过参考地和介质层与辐射源相连接。 对于矩形贴片微带天线,理论分析时可以采用传输线模型来分析其性能,矩形贴片微带天线的工作主模式是TM10模,意味着电场在长度L 方向上有2/g λ的改变,而在宽度W 方向上保持不变,如图2(a )所示,在长度L 方向上可以看做成有两个终端开路的缝隙辐射出电磁能量,在宽度W 方向的边缘处由于终端开路,所以电压值最大电流值最小。从图2(b )可以看出,微带线边缘的电场可以分解成垂直于参考地的分量和平行于参考地的分量两部分,两个边缘的垂直电场分量大小相等、方向相反,平行电场分量大小相等,方向相反;因此,远区辐射电场垂直分量相互抵消,辐射电场平行于天线表面。

频率选择表面-HFSS报告

频率选择表面 5.3.1 设计背景 频率选择表面(Frequency Selective Surface,FSS)是一种二维周期性结构,可以有效地控制电磁波的反射与传输。目前FSS的应用十分广泛,可用于反射面天线的负反射器以实现频率复用,提高天线的利用率;也可以用于波极化器、分波数仪和激光器的“腔体镜”,以提高激光器的泵浦功率;还可以用于隐身技术,应用设计的雷达天线罩能够有效地降低雷达系统的雷达散射界面。 5.3.2 设计原理 FSS是一种而为周期排列的阵列结构,本身不能吸收能量,但是却能起到滤波的作用。通常有两种形式,以后总是贴片型,是在介质衬底层上周期性地印上规则的导体贴片单元组成金属阵列;另一种是孔径型,是在很大的金属屏上周期性开孔的周期孔径结构。这两种结构都可以实现对电磁场的频率选择作用和极化选择作用,对于谐振情况下的入射电磁波,这两种阵列分别表现出全反射(单元为导体贴片)、全透射(单元为缝隙、孔径),它们也被分别称为带阻型FSS和带通型FSS。频率选择表面的频率选择特性主要取决于写真单元的形式、单元的排布方式以及周围戒指的电性能。 FSS的基本结构如图5-3-1所示,上下层为介质层,中间层为金属层,金属层也可以位于介质层的上下面上。 1.基本的偶极子或缝隙形式的频率选择表面 FSS的两类基本形式是导线阵列和缝隙阵列,如图5-3-2所示。介质基板 PEC ε1 μ1 ε2 μ 2 图5-3-1 FSS的基本结构

如图5-3-2(a )所示的谐振偶极子的阵列作为带阻滤波器,不能通行偶极子谐振频率的 波,但可以通行高于和低于谐振频率的波。与之互补的在理想导电片上的缝隙阵列,如图5-3-2(b )所示,用作带通滤波器,可通行等于缝隙谐振频率的波,但拒绝较高和较低频率的波。两种情况的传输系数图如图5-3-3所示。 2. 其他形式的频率选择表面单元形状 各种各样的FSS 单元形状都是从最基本的直偶极子单元开始的。现在讲偶极子单元分成四类,分别为: (1) “中心连接”或“N-极子”单元。如偶极子、三极子和耶路撒冷十字等。 (2) 环形单元。如圆环,矩形环和六角环形等。 环单元是制造高质量的斜入射FSS 的首选形式。 (3) 不同形状的贴片。 (4) 上述图形的组合。 偶极子阵 入射波 E S ? E H i H t 缝隙阵 (a ) (b ) 图5-3-2 基本的频率选择表面 频率偶极子阵 谐振频率 带通 带阻 缝隙阵 图5-3-3 两种形式的传输系数

hfss设计天线范例

第二章创建项目 本章中你的目标是: √保存一个新项目。 √把一个新的HFSS设计加到已建的项目 √为项目选择一种求解方式 √设置设计使用的长度单位 时间:完成这章的内容总共大约要5分钟。 一.打开HFSS并保存一个新项目 1.双击桌面上的HFSS9图标,这样就可以启动HFSS。启动后的程序工作环境如图:

图2-1 HFSS工作界面 1.打开File选项(alt+F),单击Save as。2.找到合适的目录,键入项目名hfopt_ismantenna。 图2-2 保存HFSS项目 二.加入一个新的HFSS设计 1.在Project菜单,点击insert HFSS Design选项。( 或直接点击图标。)一个新的工程被加入到hfopt_ismantenna项目中,默认名为HFSSModel n。

图2-3 加入新的HFSS设计 2.为设计重命名。在项目树中选中HFSSModel1,单击鼠标右键,再点击Rename项,将设计重命名为hfopt_ismantenna。 图2-4 更改设计名

三.选择一种求解方式 1.在HFSS菜单上,点击Solution Type选项. 2.选择源激励方式,在Solution Type 对话框中选中Driven Mode项。 图2-5 选择求解类型图2-6 选择源激励方式 四.设置设计使用的长度单位

1.在3D Modeler菜单上,点击Units选项. 2.选择长度单位,在Set Model Units 对话框中选中mm项。 图2-5 选择长度单位图2-6 选择mm作为长度单位 第三章构造模型 本章中你的目标是: √建立物理模型。 √设置变量。 √设置模型材料参数 √设置边界条件和激励源 √设置求解条件 时间:完成这章的内容总共大约要35分钟。

Ansoft 分析频率选择表面FSS

Ansoft高级培训班教材Ansoft分析频率选择表面FSS 苏涛谢拥军编著 西安电子科技大学Ansoft培训中心

Ansoft分析频率选择表面FSS 第一章序言 第二章创建项目 第三章建立几何模型 第四章设定无穷阵列和边界 第五章设定入射波 第六章设定解 第七章解的后处理

第一章序言 本文讲解使用Ansoft产品分析频率选择表面。由于频率选择表面是场的问题,所以主要采用平面电磁分析(Ansoft Designer中的Ensemble)和高频结构仿真(HFSS)。 现在,Ansoft在Designer里集成了PMM(Periodic Moment Method),就像过去在HFSS 中集成Master/Slave边界一样,给工程师带来了2D和3D阵列的分析工具,而无需自己编程。再一次,增加了收益。 下面就是使用Ansoft Designer分析FSS的实例。 第二章创建项目 图1 Ansoft Designer界面 1、在Project Manager窗口中Project1默认工程上右击鼠标,选择Insert项目,插入Planar EM Design

图2 插入一个Planar EM Design 也可以在菜单条目中直接点击Planar EM Design的图标 图2 菜单条中直接点击图标加入Planar EM Design 2、在弹出的Layout窗口中点击None按钮,表示自己定义基板。 图3 选择基板窗口 3、存储工程。点击存盘图标(或选择菜单File/Save),输入工程名字hexagon,并存盘。最终工作界面如图4所示。

频率选择表面-HFSS报告

频率选择表面-HFSS报告

频率选择表面 5.3.1 设计背景 频率选择表面(Frequency Selective Surface,FSS)是一种二维周期性结构,可以有效地控制电磁波的反射与传输。目前FSS的应用十分广泛,可用于反射面天线的负反射器以实现频率复用,提高天线的利用率;也可以用于波极化器、分波数仪和激光器的“腔体镜”,以提高激光器的泵浦功率;还可以用于隐身技术,应用设计的雷达天线罩能够有效地降低雷达系统的雷达散射界面。 5.3.2 设计原理 FSS是一种而为周期排列的阵列结构,本身不能吸收能量,但是却能起到滤波的作用。通常有两种形式,以后总是贴片型,是在介质衬底层上周期性地印上规则的导体贴片单元组成金属阵列;另一种是孔径型,是在很大的金属屏上周期性开孔的周期孔径结构。这两种结构都可以实现对电磁场的频率选择作用和极化选择作用,对于谐振情况下的入射电磁波,这两种阵列分别表现出全反射(单元为导体贴片)、全透射(单元为缝隙、孔径),它们也被分别称为带阻型FSS

和带通型FSS 。频率选择表面的频率选择特性主要取决于写真单元的形式、单元的排布方式以及周围戒指的电性能。 FSS 的基本结构如图5-3-1所示,上下层为介质层,中间层为金属层,金属层也可以位于介质层的上下面上。 1. 基本的偶极子或缝隙形式的频率选择表面 FSS 的两类基本形式是导线阵列和缝隙阵列,如图5-3-2所示。 介质基 PEC ε1 ε2 μ2 图5-3-1 FSS 的

如图5-3-2(a )所示的谐振偶极子的阵列作为带阻滤波器,不能通行偶极子谐振频率的 波,但可以通行高于和低于谐振频率的波。与之互补的在理想导电片上的缝隙阵列,如图5-3-2(b )所示,用作带通滤波器,可通行等于缝隙谐振频率的波,但拒绝较高和较低频率的波。两种情况的传输系数图如图5-3-3所示。 2. 其他形式的频率选择表面单元形状 偶极 子阵 入射波 E S ? E H i H t 缝隙阵 (a ) (b ) 图5-3-2 基本的频率选0 频率偶极子阵 谐振频率 带通 带阻 缝隙阵 图5-3-3 两种形式的

HFSS的天线课程设计(20201005041508).docx

一、实验目的 ●利用电磁软件Ansoft HFSS 设计一款微带天线。 ◆微带天线要求:工作频率为,带宽( 回波损耗 S11<-10dB)大于 5%。 ● 在仿真实验的帮助下对各种微波元件有个具体形象的了解。 二、实验原理 1、微带天线简介 微带天线的概念首先是由 Deschamps于 1953 年提出来的,经过 20 年左右的发展, Munson和 Howell 于 20 世纪 70 年代初期制造出了实际的微带天线。微带天线由于具有质量轻、体积小、易于制造等优点,现今已经广泛应用于个人无线通信中。 图1 是一个简单的微带贴片天线的结构,由辐射源、介质层和参考地三部分组成。与天线性能相关的参数 包括辐射源的长度L、辐射源的 宽度 W、介质层的厚度 h、介质 的相对介电常数r和损耗正切 tan、介质层的长度LG和宽度 WG。图 1 所示的微带贴片天线是图 1:微带天线的结构 采用微带天线来馈电的,本次将要设计的矩形微带贴片天线采用的是同轴线馈 电,也就是将同轴线街头的内心线穿过参考地和介质层与辐射源相连接。 对于矩形贴片微带天线,理论分析时可以采用传输线模型来分析其性能, 形贴片微带天线的工作主模式是TM10模,意味着电场在长度L方向上有 g / 2 矩 的 改变,而在宽度 W方向上保持不变,如图 2(a)所示,在长度 L 方向上可以看做 成有两个终端开路的缝隙辐射出电磁能量,在宽度W方向的边缘处由于终端开路,所以电压值最大电流值最小。从图 2(b)可以看出,微带线边缘的电场可以分解成 垂直于参考地的分量和平行于参考地的分量两部分,两个边缘的垂直电场分量大小 相等、方向相反,平行电场分量大小相等,方向相反;因此,远区辐射电场垂直分 量相互抵消,辐射电场平行于天线表面。

HFSS天线设计实例

HFSS 天线设计实例这是一种采用同轴线馈电的圆极化微带天线 切角实现圆极化

设计目标!(具体参数可能不精确,望大家谅解)主要讲解HFSS操作步骤! GPS微带天线:介质板:厚度:2mm,介电常数:2.2,大小:100mm*100mm 工作频率:1.59GHz,圆极化(左旋还是右旋这里不讲了哈),天线辐射在上半平面覆盖! 50欧同轴线馈电, 1、计算参数 首先根据经验公式计算出天线的基本参数,便于下一步建立模型。 贴片单元长度、宽度(正方形贴片长宽相等)、馈电点位置,分离单元长度.下表是经HFSS分析后选择的一组参数: 2、建立模型 首先画出基板50mm*50mm*2mm 的基板 起名为substrate

介电常数设置为如图2.2的,可以调整color颜色和transparent透明度便于观察 按Ctrl+D可以快速的使模型全可见!按住Ctrl+Alt键,拖动鼠标可以使3D模型自由旋转 同理,我们画贴片: 1、在基板上画出边长65mm(假设用公式算出的是这么多)的正方形 2、起名为patch,颜色选绿色,透明度设为0。5 画切角是比较麻烦的 1、用画线条工具,画三线段,坐标分别是0.5.0, 5.0.0, 0.0.0 2、移动三角形,选中polyline1,选菜旦里edit\Arrange\move,先确定坐标原点或任一点为基准点,将

三角形移动到左上角和贴片边沿齐平。 3、复制三角形,选中polyline1,选菜单里edit\arrange\duplicate\around axis,相对坐标轴复制,角度换成180,然后在右下角就出现了相对称的另一个三角形。 4、从patch上切掉对角上的分离单元polyline1和polyline1_1: 选中patch、polyline1和polyline1_1,选菜单里3D modeler\Boolean\Subtract 把polyline1和polyline1_1从patch上切掉最后剩下 先在介质板底面画一个100mm*100mm的正方形作为导电地板。起名为ground 下面就是画馈源了:我们采用同轴线馈电,有两种建模方法: 1、在馈电点画一0.5mm的铜柱代表同轴线内导体,起名为feed 2、在介质板底面馈电点处画一1.5mm的圆,起名为port 3、复制port为port1,复制feed为feed1 4、复选port和feed1,执行菜单里3D Modeler\Boolean\Subtract,使port成为一个内径0.5mm外径1.5mm的圆环

频率选择表面的滤波原理与应用

频率选择表面的滤波原理与应用 频率选择表面就其本质而言是一个空间滤波器。和一般意义说的通过电容、电感组成的滤波器在目的上是一致。而滤波原理和有很大的区别。 最大的区别是,一般的滤波器作用的对象是电路中的电流,而且一般滤波器我们主要关系统带的波形是不是有畸变,而对于阻带就就不必关心了。而频率选择表面是对于场的滤波器,不论是透射波还是反射波都是十分重要,不仅仅要关注其幅度、相位的变化,还要关心交叉极化和热损耗等等。 1频率选择表面的滤波机理 频率选择表面有两种:贴片类型也叫介质类型和开槽类型也叫波导类型。 贴片类型:在介质表面周期性的标贴同样的金属单元。 滤波机理: 假设电磁波入射从左向右入射到贴片型频率选择表面上。在平行于贴片方向的电场对电子产生作用力使其振荡,从而在金属表面上形成感应电流。这个时候,入射电磁波的一部分能量转化为维持电子振荡状态所需的动能,而另一部分的能力就透过金属丝,继续传播。换言之,根据能量守恒定律,维持电子运动的能量就被电子吸收了。在某一频率下,所有的入射电磁波能量都被转移到电子的振荡上,那么电子产生的附加散射场可以抵消金属导线右侧的电磁波的出射场,使得透射系数为零。此时,电子所产生的附加场同时也向金属导线左侧传播,形成发射场。这种现象就是谐振现象,该频率点成为谐振点。直观的看,这个时候贴片型频率选择表面就成反射特性。 再考虑另一种情况,入射波的频率不是谐振频率的时候,只有很少的能量用于维持电子做加速运动,大部分的能量都传播到了贴片的右侧。在这种情况下,贴片对于入射电磁波而言,是“透明”的,电磁波的能量可以全部传播。这个时候,贴片型频率选择表面就成透射特性。 一般而言,贴片类型是作为带阻型滤波器的。 等效电路:LC串联

(完整版)基于HFSS的微带天线设计毕业设计论文

烟台大学 毕业论文(设计) 基于HFSS的微带天线设计 Microstrip antenna design based on HFSS 申请学位:工学学士学位 院系:光电科学技术与信息学院

烟台大学毕业论文(设计)任务书院(系):光电信息科学技术学院

[摘要]天线作为无线收发系统的一部分,其性能对一个系统的整体性能有着重要影响。近年来内置天线在移动终端数量日益庞大的同时功能也日益强大,对天线的网络覆盖及小型化也有了更高的要求。由于不同的通信网络间的频段差异较大,所以怎样使天线能够覆盖多波段并且同时拥有足够小的尺寸是设计内置天线的主要问题。微带天线具有体积小,重量轻,剖面薄,易于加工等诸多优点,得到广泛的研究与应用。微带天线的带宽通常小于3%,在无线通信技术中,对天线的带宽有了更高的要求;而电路集成度提高,系统对天线的体积有了更高的要求。 随着技术的进步,在不同领域对于天线的各个要求越来越高,所以对微带天线的尺寸与性能的分析有着重要的作用。对此,本文使用HFSS 软件研究了微带天线的设计方法,论文介绍及分析了天线的基本概念和相关性能参数,重点对微带天线进行了研究。 本文介绍了微带天线的分析方法,并使用HFSS 软件的天线仿真功能,对简单的微带天线进行了仿真和分析。 [关键词] 微带天线设计分析HFSS [Abstract]Antenna as part of the wireless transceiver system, its performance important impact on the overall performance of a system. Internal antenna in recent years an increasingly large number of mobile terminals while also increasingly powerful, and also network coverage and miniaturization of the antenna Band differences between the different communication networks, cover band and also problem of the design built-in antenna. Microstrip antenna with small size, light weight, thin profile, easy to process many advantages, extensive research and application. Microstrip antenna bandwidth is typically less than 3% the bandwidth of the antenna in wireless communication technology; improve the integration of the circuit the size of the antenna. As technology advances in different areas for various requirements of the antenna important role. Article uses HFSS microstrip antenna design, the paper introduces and analyzes the basic concepts and performance parameters of the antenna, with emphasis on the microstrip antenna. This article describes the analysis of the microstrip antenna and antenna simulation in HFSS simulation and analysis functions, simple microstrip antenna. [Key Words]Microstrip antenna design analysis HFSS

HFSS矩形微带贴片天线的仿真设计报告

基于HFSS矩形微带贴片天线的仿真设计 实验目的:运用HFSS的仿真能力对矩形微带天线进行仿真实验内容:矩形微带天线仿真:工作频率 天线结构尺寸如表所示: 一、新建文件、重命名、保存、环境设置。 (1)、菜单栏File?save as,输入Antenna,点击保存。 (2).设置激励终端求解方式:菜单栏HFSS>Solution type>Driven Termin ,点击OK (3)、设置模型单位:3D Modeler>Units 选择mm,点击OK (4)、菜单栏Tools>>Options>>Modeler Options, 勾选” Edit properties of new pri ” ,点击OK 二、建立微带天线模型 (1)点击三仓U 建GND,起始点:x:0 ,y:0 ,z: ,dx:,dy:32,dz:

(2) 介质基片:点击 :比,:x:0, y:0 , z:0。dx: , dy: 32 , dz:-, 修改名称为Sub,修改 材料属性为 Rogers RT/Duriod 5880,修改颜色为绿色 点击OK (3) 建立天线模型patch , 点击^已,x:,y: 8, z:0 ,dx: ,dy: 16 ,dz: 命名为patch ,点击OK (4) 建立天线模型微带线 MSLine 点击’硏,x:,y: 0, ,z: 0 , dx: ,dy: 8 ,dz:, 命名为MSLine,材料pec,透明度 选中 Patch 和 MSLine,点击 Modeler>Boolean>Unite (5) 、建立端口。创建供设置端口用的矩形,该矩形连接馈线与地 Modeler>Grid Plane>XZ ,或者设置回厂刁冈 习 点击 e ,创建Port 。命名为port 双击 Port 下方 CreatRectangle 输入:起始点:x: ,y: 0,z:-,尺寸:dx: ,dy: 0 ,dz: (6) 、创建 Air 。 点击1 ,x:-5 ,y:-5 ,z:, dx:, dy:42, dz: 修改名字为Air ,透明度. 三、设置边界条件和端口激励。 (1)设置理想金属边界:选择 GND 右击Assign Boundaries>>Pefect E 将理想边界命名为:PerfE_GND ,点击OK (2)、设置边界条件:选择 Port ,点击 Assign Boundaries>>Pefect E 在对话框中将其命名为 PerfE_Patch ,点击0K ,透明度。 修改名称为GND,修改材料属性为pec ,

HFSS 矩形微带贴片天线的仿真设计报告

基于H F S S矩形微带贴片天线的仿真设计 实验目的:运用HFSS的仿真能力对矩形微带天线进行仿真 实验内容:矩形微带天线仿真:工作频率7.55GHz 天线结构尺寸如表所示: 名称起点尺寸类型材料 Sub 0,0,0 28.1,32, -0.79 Box Rogers 5880 (tm) GND 0,0,-0.79 28.1,32, -0.05 Box pec Patch 7.03 , 8 , 0 12.45 , 16, 0.05 Box pec MSLine 10.13,0,-0. 79 2.49 , 8 , 0.05 Box pec Port 10.13,0,-0. 79 2.49 ,0, 0.89 Rectangle Air -5,-5,-5.79 38.1 , 42, 10.79 Box Vacumn 一、新建文件、重命名、保存、环境设置。 (1)、菜单栏File>>save as,输入Antenna,点击保存。 (2). 设置激励终端求解方式:菜单栏HFSS>Solution type>Driven Termin ,点击OK。 (3)、设置模型单位:3D Modeler>Units选择mm ,点击OK。 (4)、菜单栏Tools>>Options>>Modeler Options,勾选”Edit properties of

new pri”, 点击OK。 二、建立微带天线模型 (1)点击创建GND,起始点:x:0,y:0,z:-0.79,dx:28.1,dy:32,dz:-0.05 修改名称为GND, 修改材料属性为 pec, (2)介质基片:点击,:x:0,y:0,z:0。dx: 28.1,dy: 32,dz: - 0.794,修改名称为Sub,修改材料属性为Rogers RT/Duriod 5880,修改颜色为绿色,透明度0.4。 点击OK (3) 建立天线模型patch, 点击,x:7.03,y: 8, z:0 ,dx: 12.45,dy: 16,dz: 0.05 命名为patch,点击OK。 (4) 建立天线模型微带线MSLine 点击,x:10.13,y: 0, ,z: 0 , dx:2.46,dy: 8,dz: 0.05, 命名为MSLine,材料pec, 透明度0.4 选中Patch和MSLine,点击Modeler>Boolean>Unite (5)、建立端口。创建供设置端口用的矩形,该矩形连接馈线与地。Modeler>Grid Plane>XZ,或者设置 点击,创建Port。命名为port 双击Port下方CreatRectangle 输入:起始点:x: 10.13,y: 0,z:- 0.84,尺寸: dx:2.46,dy: 0,dz: 0.89 (6)、创建Air。 点击,x:-5,y:-5,z:-5.79, dx:38.1, dy:42, dz:10.79

相关主题
文本预览
相关文档 最新文档