当前位置:文档之家› 交通信号灯控制电路的设计与仿真

交通信号灯控制电路的设计与仿真

交通信号灯控制电路的设计与仿真
交通信号灯控制电路的设计与仿真

唐山学院

《电子技术》课程设计

题目交通信号灯控制电路的设计与仿真系 (部) 信息工程系

班级 10应用电子技术2班

姓名李程浩

学号 3100212226 指导教师成凤敏王蕾

2012年6月25日至 6月29 日共1周

2012年6月28日

《电子技术》课程设计任务书

课程设计成绩评定表

目录

1 引言 (1)

2 交通灯设计内容 (2)

2.1 工作方式 (2)

2.2 控制特点 (2)

3 总体设计 (3)

3.1 单元电路设计 (3)

3.1.1 系统电源 (3)

3.1.2 秒脉冲产生电路 (4)

3.1.3十二进制计数器 (5)

3.1.4分频器电路的设计 (5)

3.1.5 信号灯驱动电路 (7)

3.1.6白天夜间模式切换的设计 (7)

3.1.7交通灯逻辑控制电路 (8)

3.2仿真调试 (10)

4 设计总结 (14)

附录1 (16)

1 引言

电子技术是根据电子学的原理运用电子器件设计和制造某种特定功能的电路以解决实际问题的科学,包括信息电子技术和电力电子技术两大部分。随着时代的进步其获得了长足的提发展,在生活中被应用的越来越广泛。

目前随着经济的发展,城市极度膨胀,人们生活水平的提高,私家车越来越多已成为不争的事实。然而路网建设并没有随着机动车保有量的增加而增加。城市道路堵塞日趋加重,交通事故频繁。城市交通越来越成为一个广泛性的问题,如何能够更好的应用当前科技成果造福人类业已成为热门话题。

一个拥有活力的城市离不开各种系统,而城市交通控制系统是现代城市智能交通系统的重要组成之一。

城市平交路口实现交通信号控制是城市交通管理现代化的基本标志之一,是提高交通管理效能的重要技术手段。路口信号控制器是控制交叉路口交通信号的设备,它是交通信号控制的重要组成部分。各种交通控制方案,最终都要由路口信号控制器来实现。为了确保十字路口的行人和车辆顺利、畅通地通过,往往采用电子控制的交通信号来进行指挥。

Multisim10它是美国NI公司推出的以Windows为基础的仿真工具,适用于板级的模拟、数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。在搭建实际电路之前,采用Multisim10仿真软件进行虚拟测试,可使实验方法和实验手段现代化,扩展实验容量,使实验内容更完备,提高了实验效率,节省大量的实验资源。有了Multisim10软件,就相当于拥有了一个设备齐全的实验室,可以非常方便的从事电路设计、仿真、分析工作。

由Multisim10软件设计的交通信号灯控制电路系统由控制器、四分频器、定时器、和秒脉冲信号发生器等部分组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,555定时器输出脉冲信号,控制电路控制定时器的工作,驱动电路驱动六只三色LED工作。

此可见本次电子技术课程设计能够带领我们深入的了解交通信号灯的应用原理,更好的掌握所学知识,在实际操作中培养自己的实际动手能力,将理论应用于实际生活中。进一步提高个人对电子技术的理解运用。

2 交通灯设计内容

2.1 工作方式

设计交通信号控制灯要求白天的工作方式是:某方向绿灯点亮20秒,然后黄灯点亮4秒,最后红灯点亮24秒。在该方向为绿灯和黄灯点亮期间,另一方向红灯点亮,如此循环。如图2-1:

图2-1 信号指示灯白天点亮流程图

夜晚的工作方式是:南北东西各方向黄灯亮,且每秒闪动一次,其他灯不亮。设置一个手动开关,用它控制白天和夜间工作方式。

2.2 控制特点

从点亮要求可以看出,有些输出是并行的。因此采用组合逻辑设计。组合逻辑电路:将十二进制计数器作为组合逻辑电路的输入,而组合逻辑电路的输出去驱动东西和南北两个方向的信号灯的点亮。总的设计框图如图2-2:

图2-2 整体电路设计框图

3 总体设计

3.1 单元电路设计

3.1.1 系统电源

本次课设设计的交通信号灯控制电路需要使用稳定的5V 直流稳压电源来驱动各芯片使电路其正常工作。因此需要设计输出为5V 的直流稳压电源。通过变压器将市电降压到交流9V ,在通过整流桥整流滤波和稳压块7805得到直流5V 电压。直流稳压电源的任务是为整体电路提供直流电源。故稳压电源电路的输出电压值和输出电流值应满足整体电路的需要。

直流稳压电源包括变压器降压、二极管或整流桥整流、电容滤波、集成稳压芯片稳压四部分。仿真图如图3-1所示

在Multisim 中进行仿真电压示数如图3-2

如图3-2所示通过变压器将市电降压到交流8.718V ,在通过整流桥整流滤波和稳压块7805得到直流5.015V 电压,在允许范围与要求相符。

图3-2 仿真电压表示数

图3-1 直流稳压电源仿真图

U2

3.1.2 秒脉冲产生电路

由于黄灯点亮时按秒闪动以及时间显示按秒倒计时,所以需要设计秒脉冲产生电路。秒脉冲产生电路实际就是一个多谐振荡电路,它可以是用门电路和电阻、电容组成的多谐振荡电路,也可以是用定时器555和电阻、电容组成的多谐振荡器。为了电路简单和调节振荡周期方便,选择用555定时器组成多谐振荡器。其中由555构成本次课设的1秒脉冲电路如图3-3所示:

振荡周期与频率的计算公式为:T=(R1+2R2)Cln2=0.7×(R1+2R2)C ,电源电压为V=5V ,其中电路图中C2的作用是防止电磁干扰对振荡电路的影响,一般选用10nF 的瓷片电容。设计要求T=1S ,选C1=1uF,R1=360kΩ,由于系统误差选择电阻R2=410k Ω。 在Multisim 中进行仿真得图3-4:

图3-3 用555定时器组成多谐振荡器

图 3-4 输入脉冲仿真波形

由图可得T=1.005s,与要求相符。

3.1.3十二进制计数器

由信号灯白天点亮流程图可以得知,任何方向的信号灯的一个工作循环为十二进制(绿、黄、红时间比例为5:1:6),因此需要设计十二进制计数器,循环工作控制白天信号灯的点亮。因此,用移位寄存器组成十二进制计数器,拟选用8位串入并出移位寄存器74LS164。仿真电路如图3-5所示

图3-5 12进制扭环形计数器

3.1.4分频器电路的设计

上述十二进制计数器的时间单位为4秒,即它的CP脉冲为4秒。为了使整体电路工作步调一致,4秒脉冲应该利用秒脉冲经分频获得,这就需要设计一个4分频器电路。秒脉冲经4分频后得到4秒脉冲,将其作为十二进制计数器的CP脉冲。本次课程设计使用两个D触发器组成4分频器电路。其仿真电路如图3-6所示

74LS74D74LS74D

图3-6 分频器电路仿真

74LS74是内有两个D触发器的TTL集成电路,将每一触发器接成触发器,两级串联就实现4分频,即输入1s脉冲,输出4s脉冲。其在Multisim中进行仿如图3-7所示:

图 3-7 4秒脉冲仿真

从图中可以看出由555定时器组成的多谐振荡器将1S脉冲输送给2分频D触发器,两级串联就实现4分频,即输入1s脉冲,输出4s脉冲。由示波器得T=4.032s,这与要求相符。

3.1.5 信号灯驱动电路

本次课程设计选择使用基本共射放大电路对发光二极管进行驱动,使其点亮。设计电路如图3-8所示

R2 5kΩ

R1

220Ω

LED

Q1

2N2219

1

2

3

对此基本共射放大电路进行设计几参数的选择:

电源电压:V CC=5V;

集电极直流负载电阻R c的计算与确定:电路的直流输出回路电压方程为:

U CE=V CC-I CR1-U LED,发光二极管的驱动电压大概是0.7V左右,一般发光管的驱动电流取10mA~20mA,大功率率发光二极管可以到300mA(1W)600mA(2A)。此次课设所用的二极管电流为10mA~20mA,则选取U CE=0.3~0.5V,根据电路的直流输出回路电压方程计算得:R1=220Ω,其功率:W Rc=R1U Rc=220Ω×

20mA=4.4mW则选取R1=220Ω的电阻即可。

R2的阻值计算与确定:I BQ=I CQ/β=20/80=0.25mA,确定R2≈V CC/I BQ=5kΩ为统一选取,则R2取R2=5kΩ电阻。

3.1.6白天夜间模式切换的设计

本次课设中还有夜晚工作的状态,在电路中设计了一手动夜晚,白天的开关,在实际生产中可用自动开关。

由经过修改之后的组合逻辑电路输出与输入的表达式可以看出,白天与夜间模式的转换由74LS164的R D引脚控制。当R D=0时为白天工作模式,R D=1时为夜晚工作模式。

图3-8 发光二极管仿真电路

3.1.7交通灯逻辑控制电路

逻辑控制电路是本设计的核心电路,由它控制交通信号灯按要求方式点亮(一般经驱动电路去控制信号灯)。根据白天信号灯的点亮要求,将时序逻辑电路的输出作为组合逻辑电路的输入,而组合逻辑电路的输出给信号灯的驱动电路。夜晚工作方式也需要组合逻辑电路的功能以及秒脉冲通过与门实现。组合逻辑电路的真值表如表3-9所示:

根据上图真值表写出组合逻辑电路输出与输入的表达式,经化简得:

F E Q Q NS

G = F E Q Q EWG = F

E Q Q NSY =

F E Q Q EWY =

F Q NSR = F

Q EWR =

由上述表达式可以看出,组合逻辑电路用到两个非门,四个两输入与门。因此非门选用TTL 集成门74LS04,与门选用TTL 集成门74LS08。

当然,以上仅是考虑白天工作方式时的情况。下面将介绍白天和夜间综合考虑的情况,综合考虑后,表达式需要修改,则门电路也需要作响应的修改。

黄灯点亮的按秒闪动,将在下面考虑。

白天和夜间综合考虑组合逻辑电路表达式和门电路的修改

按设计要求,夜间仅有南北和东西方向的黄灯点亮,而其它灯熄灭。为此,在夜间应使74LS164停止循环工作,即通过开关将74LS164的D R 接地,使74LS164的所有Q 端全部清零。白天D R 为高电平,74LS164循环工作。

由74LS164 组成的12进制扭环形计数器电路中的开关就是白天、夜间控制

3-9 组合逻辑电路真值

开关。

在夜间由于0=D R 使所有的74LS164的Q 端清零,因此会出现以下问题: (1) F E Q Q NSG =,由于夜间Q 端均为低电平,则NSG 为高电平,即南北绿灯点亮,显然这是不允许的,所以NSG 的表达式需要修改;

(2) F Q EWR =,由于夜间Q 端均为低电平,则EWR 为高电平,即东西红灯点亮,显然这是不允许的,所以EWR 的表达式需要修改;

(3) F E Q Q NSY =由于夜间E Q 、F Q 均为低电平时,则NSY 、EWY 为低电平,即南北和东西方向的黄灯不能点亮,显然这是不允许的,所以NSY 、EWY 的表达式需要修改。

上述三个问题不符合信号灯的点亮要求。解决办法如下:

(1) 在夜间由于0==F E Q Q ,将原两输入与门改为三输入与门。那么在夜间由于0=D R ,则0=NSG ,即夜间南北绿灯不点亮;在白天由于1=D R ,则

F

E F E D Q Q Q Q R NSG ==即南北绿灯按白天工作方式点亮

(2) 在夜间由于0=F Q ,1==F Q EWR 的解决方法:令F D Q R EWR =,即将EWR 与F Q 之间的连线改为额两输入的与门。那么,在夜间由于0=D R ,则

=

EWR ,即夜间东西红灯不亮;而在白天1=D R ,则F F D Q Q R EWR ==,即

东西方向红灯按白天工作方式点亮。

虽然F Q NSG =,在夜间由于0=F Q ,则0=NSG ,即南北红灯不亮。但为了对称也将其修改为F D Q R NSG =。

(3)在夜间由于0==F E Q Q ,则必须使F E Q Q NSY =均为0。 经过修改之后的组合逻辑电路输出与输入的表达式为:

D

F E R Q Q NS

G = D F E R Q Q EWG = D

F E R Q Q NSY += D F E R Q Q EWY +=

D

F R Q NSR = D F R Q EWR =

在此次逻辑电路的设计过程中用到的芯片有:74LS04,74LS08,74LS32,74LS11。

74LS04为六组一输入与门;74LS08为四组二输入与门;74LS32为四组二输入或门;74LS11为三组三输入或门。各个芯片的驱动电压均为+5V 。

根据各芯片外部引脚不,选择合适位置的引脚,连接电路。其逻辑控制原理电路如图3-10所示:

3.2仿真调试

交通灯从点亮要求可以看出,有些输出是并行的:如南北方向绿灯亮时,东西方向红灯亮;南北方向黄灯亮时,东西方向红灯亮;南北方向红灯亮时,东西方向绿灯亮;南北方向红灯亮时,东西方向黄灯亮。信号灯采用LED 红、绿、黄发光二极管模拟。

图3-11

东西方向红灯亮南北方向绿灯

图3-10 逻辑控制设计电路

图3-13 东西方向红灯亮南北方向黄灯亮

图3-12 东西方向绿灯亮南北方向红灯亮

夜间工作方式

南北东西各方向黄灯亮,且每秒闪动一次,其它灯不亮,如图3-13。设置一个手动开关,用它控制白天和夜间工作方式。

图3-16、3-17是用4踪示波器对红灯(第一根线)、绿灯(第二根线)、4分频器(第三根线)、555定时器组成的多谐振荡器(第四根线)进行的仿真图,从图中可以看出4分频器的周期是555定时器组成的多谐振荡器周期的4倍。

图3-15 交通灯夜晚工作图

图3-14 东西方向黄灯亮南北方向红灯亮

图 3-16 白天模式仿真

图 3-17 晚上模式仿真

4 设计总结

通过这一周的电子课程设计,加强了我们思考和解决问题的能力,并且巩固了所学的电学知识,对于电学有了更加深刻的认识。在老师和同学的帮助下使我又有新的提高。

课程设计同时也是对课本知识的巩固和加强,经过这一周的努力,在老师和同学的帮助下,我完成了设计任务。通过这次课程设计,不仅使我的知识更加丰富,而且使我对电学有了更深入的了解,对于许多电器元件在电路中的使用有了更多的认识。通过这次课程设计验证了一点,只有把所学的理论知识与实践结合起来,从理论中得出结论,才能真正的有所收获,进而提高自己的实际动手能力和独立思考能力。

通过这次课程设计,我体会到非常重要的一点,结果并不是很重要,过程却相当关键。因为我们只有在过程中才能使自己真正成长,才能真正学到知识。总之,通过这次设计真的让我受益匪浅。我真正从中学到了知识,也得到了成长。同时,增强了我对电学的兴趣,让我对电气更加了解。在此,要谢谢我的老师和同学,帮助我发现错误,改正错误,使我的课程设计顺利完成。

参考文献

[1] 付家才.电子工程实践技术.北京:北京工业出版社,2003

[2] 毕满清.电子技术实验与课程设计.北京:机械工业出版社,2001

[3] 阎石主编.数字电子技术基础(第五版).北京:高等教育出版社,2009

[4] 丁润涛主编.电子工程手册.北京:机械工业出版社,1995

[5] 唐赣.Multisim 10&Ultiboard 10原理图仿真与PCB设计.北京:电子工业工业出版社,2008

附录1 元件明细表

交通信号灯设计

简易交通信号灯控制器 1.主要技术指标与要求 1.定周控制:主干道绿灯45s,支干道绿灯25s; 2.每次由绿灯变为红灯时,应有5s黄灯作为过渡; 3.分别用绿、黄、红色发光二极管表示信号灯; 2.摘要 道路交通和我们息息相关,是我们日常生活的一部分。为了确保道路交通顺畅与安全,交通信号控制系统是用来自动控制十字路口红黄绿三色的交通灯。 简易交通信号灯控制器利用555秒脉冲发生器提供秒脉冲信号,通过CP输入。主控制器由两块74LS290组成一个80进制计数器,分别在45S,50S,75S,80S,通过驱动控制装置来控制主干道与支干道中绿、黄、红发光二极管的亮灭及其持续时间,从而实现对主干道与支干道交通信号的控制。 3.总体设计方案论证及选择 方案一:十字路口每个方向的绿、黄、红灯所亮的时间比例分别为9:1:5,所以,可以选择计数器为5s的脉冲。因为每5s一个时间单位,所以计数器的工作循环为16,应选择一个十六进制的计数器来控制,故选择74LS161四位异步二进制计数器,再加上相应控制器来配合,达到计数器分别在9、10、15、16翻转的目的。

方案二:本方案主要由主控制电路和秒脉冲发生器组成,其中主控制电路包括:主控制器、清零装置、驱动装置、信号灯装置及一些逻辑门。主控制器中采用两块74LS290二-五-十进制来实现八十进制计数器。秒脉冲发生器由555秒脉冲发生器负责提供脉冲信号。接通电源瞬间,清零装置将主控制器清零,紧接着,主干道绿灯和支干道红灯打开,其余主、支道灯关闭。秒脉冲传送到控制器,主控制电路在45s 到,50s到,75s到,80s到分别产生翻转信号,从而改变主、支道绿、黄、红灯的开闭持续时间,继而实现交通信号灯控制。 方案三:十字路口车辆通行情况只可能有4种情况,可以依次用S0=00,S1=01,S2=10,S3=11,L来记忆交通灯的工作情况。分别对这四种情况进行编码,得到转换图,显然这是一个四进制计数器,可以采用J-K触发器74LS107来构成,控制电路。 经过比较,我选择方案二,因为方案一中,主控制器用的是十六进制74LS161计数器,而交通灯信号控制周期T=80s,相比而言方案二更容易得到。而方案三中器件我不太熟悉,所以最终我选方案二。 4.设计方案的原理框图、总体电路图及说明 原理框图:

简易交通灯控制电路的设计课程设计

长安大学 电子技术课程设计 题目简易交通信号灯控制器 班级 姓名黄红涛指导教师温 凯歌 日期 前言 在现代城市中,人口和汽车日益增长,市区交通也日益拥挤,人们的安全问题也日益重要。因此,红绿交通信号灯成为交管部门管理交通的重要工具之一。有了交通灯之后人们的安全出行有了很大的保障。 自从交通灯诞生以来,其内部的电路控制系统就不断的被改进,设计方法也开始多种多样,从而使交通灯显得更加智能化。尤其是近几年来,随着电子与计算机技术的飞速发展,电子电路分析和设计方法有了很大的改进,电子设计自动化也已经成为现代电子系统中不可缺少的工具和手段,这些为交通灯控制电路的设计提供了一定的技术基础。 因此,在本次课题为简易交通灯的课程设计中,通过采用数字电路对交通灯控制电路的设计,提出使交通灯控制电路用数字信号自动控制十字路口两组红、黄、绿交通灯的状态转换的方法,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。

本设计分为两个部分:第一部分是由定时器、时钟脉冲驱动和控制器组成的秒脉冲信号发生装置;第二部分是有译码器、发光二极管和数码管组成的交通信号灯以及时间显示装置。各部分采用分模块设计,正文中详细介绍了各模块的功能和原理。 为了完成本次设计,参阅了大量的资料,包括所用到的芯片的详细中英文资料。搜集和查阅资料是一个漫长但是非常重要的过程,获取各模块电路原理,然后经过讨论比较,结合课题要求,确定出一套最合适的方案。小组人员花费几天时间,通过图书馆和上网查阅资料,分别查阅到相应资料。经过商讨,结合现有资料,制定基本框架,并基本定出电路图。在MULTISIM软件里进行电路仿真,来验证电路的正确性。通过仿真来验证实验原理和电路的正确性。在整个过程中,充分发挥主观能动性,将平时所学的理论知识和实际相结合,往往理论可行的东西,实际并不一定能出现结果,这就是我们需要解决的问题,通过问老师或者查资料来分析解决问题。最后确定仿真没有错误后,汇总电路图。 本设计分为两大部分,交通信号灯以及译码显示电路(时间显示)部分由黄红涛同学和韩白雨同学负责主导设计;秒脉冲信号发生以及控制部分由任永刚同学负责,最后进过整合后得到完整系统。 由于缺少实践经验,并且知识有限,所以本次课程设计中难免存在缺点和错误,敬请老师批评指正。 黄红涛 2010年12月29日 目录 前言 (2)

交通信号灯的设计方法

交通信号灯的设计方法 设计任务与要求 设计一个十字路口的交通信号灯操纵电路,要求甲车道和乙车道两条交叉道路上的车交替运行。 要求黄灯先亮5秒,才能变换行车道。 黄灯亮时,要求每秒钟闪亮一次。 实验设备 数字双踪示波器 74LS00、74LS20、74LS74、74LS153、74LS163、74LS138、NE555、发光二极管、电阻、电容 实验原理与实验电路 实验原理简介 实验电路要紧由操纵器、定时器、译码器和秒脉冲信号发生器等部分组成。秒脉冲发生器是该系统中定时器和操纵器的标准时钟信号源,译码器输出组信号灯的操纵信号,经驱动电路后驱动信号灯工作,操纵器是系统的要紧部分,由它操纵定时器和译码器的工作。 下面简要介绍个操纵信号的意义: TL:表示甲车道或乙车道绿灯亮的时刻间隔是25秒,即两车道正常通行的时刻间隔。定时器时刻到,TL=1,否则,TL=0。 TY:表示黄灯亮的时刻间隔是5秒,定时时刻到,TY=1.,否则,TY =0。 ST:表示定时器到了规定的时刻后,由操纵器发出状态转换信号。由他操纵定时器开始下个工作状态的定时。 AG=1:表示甲车道绿灯亮;BG=1:乙车道绿灯亮; AY=1:表示甲车道黄灯亮;BG=1:乙车道黄灯亮; AR=1:表示甲车道红灯亮;BR=1:乙车道红灯亮; 假设交通信号灯由四种状态组成:

第一种状态:甲车道绿灯亮,乙车道红灯亮。表示甲车道的车辆承诺通行,乙车道的车辆禁止通行。绿灯亮足够时刻间隔TL时,操纵器发出状态信号ST,转到下一个工作状态。 二种状态:甲车道黄灯亮,乙车道红灯亮。表示甲车道上为过停车线的车辆停止通行,已过停车线的车辆连续通行,乙车道禁止通行。黄灯亮足够的时刻间隔TY时,操纵器发出状态转换信号ST,转到下一个工作状态。 三种状态:甲车道红灯亮,乙车道黄灯亮。表示甲车道禁止通行,乙车道的车辆能够通过。绿灯亮足够规定时刻间隔时,操纵器发出状态转换信号ST,转到下一工作状态。 四种状态:甲车道红灯来亮,乙车道黄灯亮。表示甲车道禁止通行,乙车道未过停车线的车辆停止通行,已过停车线的车辆连续通行。黄灯亮足规定的时刻间隔TY时,操纵器发出状态转换信号ST,系统又转换到第一种工作状态。 通信号灯以上四种工作状态是由操纵器进行操纵的。设操纵器的四种状态编码为00、01、11、10,分不用S0、S1、S2、S3表示,则操纵器的工作状态即功能表如下所示:

交通信号灯控制电路的设计与仿真[详细]

唐山学院 《电子技术》课程设计 题目交通信号灯控制电路的设计与仿真系 (部) 信息工程系 班级 10电气自动化1班 姓名崔涛 学号 3100217138 指导教师王蕾成凤敏 2012年1月2日至 1月6 日共1周 2012年1月6日

目录 1 引言 (1) 1.1设计目的 (1) 1.2设计背景 (1) 2 设计原理 (3) 3 总体设计 (4) 3.1单元电路设计 (4) 3.2时序仿真结果 (12) 4 设计总结 (15) 参考文献 (16) 附录1器件明细表 (17) 附录2 仿真电路图 (18)

1 引言 1.1设计目的 通过一个学期的电子技术的学习,对一些电气原件有了初步的认识 ,这次的课程设计主要综合了解与运用所学的知识,通过这次课程设计来检查这一学期的学习状况.通过制作来了解交通灯控制系统,了解译码器、计数器、寄存器芯片的作用. 交通灯控制系统主要是实现城市交叉路口红绿灯的控制.在现代化的大城市中,十字交叉路口越来越多,在每一个交叉路口都需要有一个准确的时间间隔和转换顺序,这就需要一个安全、自动的系统对红、黄、绿的转化进行管理.本次的设计就是基于此目的进行的. 设计交通信号控制灯要求某方向绿灯点亮20秒,然后黄灯点亮4秒,最后红灯点亮24秒.在该方向为绿灯和黄灯点亮期间,另一方向红灯点亮. 如果以4秒作为时间计量单位,则某一方向绿、黄、红三种指示灯点亮的时间比例为5:1:6. 从点亮要求可以看出,有些输出是并行的:如南北方向绿灯亮时,东西方向红灯亮;南北方向黄灯亮时,东西方向红灯亮;南北方向红灯亮时,东西方向绿灯亮;南北方向红灯亮时,东西方向黄灯亮.信号灯采用LED红、绿、黄发光二极管模拟. 夜间工作方式 南北东西各方向黄灯亮,且每秒闪动一次.其它灯不亮.要求设置一个手动开关,用它控制白天和夜间工作方式. 1.2设计背景 随着世界范围内城市化和机动化进程的加快,城市交通越来越成为一个全球化的问题.城市交通基础设施供给滞后于高速机动化增长需求,道路堵塞日趋加重,交通事故频繁,环境污染加剧等问题普遍存在.目前,全国大中城市普遍存在着道路拥挤、车辆堵塞、交通秩序混乱的现象,交通事故频发,这给人民的生命财产安全带来了极大的损失.如何解决城市交通问题已成为全社会关注的焦点和大众的迫切呼声.探究城市交通发展中存在问题的原因,无论是从宏观上还是从微观上分析,其根本原因在于城市交通系统的管理机制不适应. 城市交通控制系统(UTC ,Urban Traffic Control Syste米)是现代城市智能交通系统(IDJ ,Intelligent transport syste米)的组成之一,主要用于城市道路交通的控制与管理.城市平交路口实现交通信号控制是城市交通管理现代化的基本标志之一,是提高交通管理效能的重要技术手段.路口信号控制器是控制交叉路口交通信号的设备,它是交通信号控制的重要组成部分.各种交通控制方案,最终都要由路口信号控制器来实现.为了确保十字路口的行人和车辆顺利、畅通地通过,往往采用电子控制的交通信号来进行指挥.伴随着社会的发展以及人类生活水平的提高,汽车的数量在

交通信号灯设计

太阳能交通信号灯系统设计 2011-12-30 21:46:59 来源:21IC 关键字:太阳能交通信号灯系统设计 传统的交通灯有以下几个缺点:反光碗的存在导致了假显示效果的出现,假显示效果会引起严重的交通事故;寿命短、维护费用高;耗能高。针对传统交通灯的缺点,采用LED发光源设计的交通灯,具有可视性强、功耗低、节能、使用寿命长、安全、工作稳定可靠等特点,所以这种交通灯在国内外得到了越来越广泛的使用。 传统交通信号灯一般采用市电直接供电,安装时要挖沟敷设电缆,给交通指挥的安装增加了成本。太阳能供电系统无需架线,资源丰富,太阳能电池转换效率逐渐提高,价格逐渐降低,有利于降低成本,所以得到了越来越广泛的应用。 采用单片机控制,提高了系统的可靠性,方便安装,对保证行车安全有着重要的意义。 1 工作原理 太阳能LED交通信号灯由光伏极板、充放电控制器、蓄电池、LED交通信号灯系统构成。系统框图如图1所示。 图1 系统框图 其中,光伏极板是用来将太阳能转换成电能,为系统供电。 充放电控制器是将太阳能产生的电存储到蓄电池中,同时将蓄电池中的电能供给LED交通信号灯系统,并对蓄电池的过流、过充等起到保护作用。 LED交通信号灯系统是由中央控制器、RS 485通信模块、LED信号灯模块、信号灯模块控制系统等组成。 2 LED交通信号灯模块 LED连接电路有三种连接方式:全串联方式、全并联方式、串并混联方式。三种方式的优缺点比较如下: (1)全串联方式,如图2(a)所示。优点:电路简单,流经所有LED的电流相同。通过使用恒流源,可使LED亮度一致。缺点:如果有一颗损坏,所有的LED将不能工作,需要变压器产生高电压和制作恒流源,实现成本高。 (2)全并联方式,如图2(b)所示。优点:电路简单,一颗LED损坏,不会影响其他LED。缺点:由于LED发光源本身存在差异性,电压有浮动,导致并联的LED显色不均匀。另外,电流太大,增加成本,给电源设计也带来困难,需要性能比较高,输出电流非常大的稳压源。 (3)串并混联方式,如图2(c)所示。蓄电池可以提供12 V直流电压,可以驱动4~6颗LED,将LED分成若干串,每串串联,然后将几串并联,这样每一串的电压相同,每一串内电流相同,电源输出的抖动被每一串内LED平分,这样可以稳定单个LED的电压,同时单个LED的损坏只能影响到同一串联的LED,其他串LED仍然正常工作。本文采用串并混联方式。 图2 LED电路连接方式 3 LED交通信号灯控制器模块 3.1 控制结构 控制部分是LED交通信号灯系统的核心部分,由中央控制器、RS 485串行通信总线、从控制器三部分组成。LED交通信号系统的主从控制器都采用单片机A T89S51,中央控制器起到控制和协调作用,四个路口由从控制器接收中央控制器的命令,然后按照命令确定各自路*通信号灯的状态。主从控制器之间由串口来实现信号的传输。控制器结构框图如图3所示。

交通灯控制电路设计.doc

百度文库 长沙学院 电子技术 课程设计说明书 题目交通灯控制电路设计 系( 部) 电子信息与电气工程系 专业 ( 班级 ) 电气工程及其自动化 姓名龙欣 学号B214 指导教师张海涛 起止日期 电子技术课程设计任务书(27) 系(部):电子信息与电气工程系专业:电气工程及其自动化指导教师:张海涛课题名称 交通灯控制电路设计

百度文库 由一条主干道和一条支干道的汇合点形成十字交叉路口,为确保车辆安全、迅速地通行,在交叉路口的每个入口处设置了红、绿、黄三色信号灯。红灯亮禁止通行; 绿灯亮允许通行;黄灯亮则给行驶中的车辆有时间停靠在禁行线外。实现红、绿灯的 自动指挥对城市交通管理现代化有着重要的意义。 设设计要求: 1.掌握交通灯控制电路的设计、组装与调试方法。 计 2.熟悉数字集成电路的设计和使用方法,能够运用所学知识设计一定规模的电路。 内 设计任务: 容 1.用红、绿、黄三色发光二极管作信号灯。 及 2.当主干道允许通行亮绿灯时,支干道亮红灯,而支干道允许亮绿灯时,主干道亮红 要 灯。 求 3.主支干道交替允许通行,主干道每次放行30s、支干道20s。设计 30s 和20s 计时 显示电路。 4.在每次由亮绿灯变成亮红灯的转换过程中间,要亮 5s 的黄灯作为过渡,以使行驶中 的车辆有时间停到禁止线以外,设置5s 计时显示电路。 1、系统整体设计; 设 2、系统设计及仿真; 计 3、在 Multisim 或同类型电路设计软件中进行仿真并进行演示; 工 作 4、提交一份完整的课程设计说明书,包括设计原理、仿真分析、调试过程,量参考文献、设计总结等。 起止日期(或时间量)设计内容(或预期目标)备注 进 第一天课题介绍,答疑,收集材料 第二天设计方案论证 度 安第三天进行具体设计 排 第四天进行具体设计 第五天编写设计说明书 指导老师 月教研室 年日 意见意见 年月日 长沙学院课程设计鉴定表 姓名龙欣学号B214专业电气班级 2

基于51单片机的十字路口交通灯控制系统设计(含源码及仿真图)

课程设计任务书 专业计算机科学与技术 班级09计(嵌入式系统方向)姓名江海洋 学号0905101072 指导教师刘钰 金陵科技学院教务处制

摘要 本文介绍了一个基于MCS-51及PROTEUS的十字路口交通灯控制系统的设计与仿真,通过对现实路况交通灯的分析研究,理解交通控制系统的实现方法。 十字路口交通灯控制系统通常要实现自动控制和在紧急情况下禁止普通车辆,而让紧急车辆优先通行。本文还对MCS-51单片机的结构特点和重要引脚功能进行了介绍,同时对智能交通灯控制系统的设计进行了详细的分析。最后介绍了PROTEUS嵌入式系统仿真与开发平台的使用方法,利用Proteus 软件对交通灯控制系统进行了仿真,仿真结果表明系统工作性能良好。 关键字:单片机,proteus仿真,中断,十字路口交通灯控制系统

前言 1,十字路口的交通控制系统指挥着人和各种车辆的安全运行,对交叉口实行科学的管理与控制是交通控制工程的重要研究课题,是保障交叉口的交通安全和充分发挥交叉口的通行能力的重要措施,是解决城市交通问题的有效途径。交通灯信号灯的出现是人类历史上的一次重大改革,使人类的聚居生活,产生了深远的影响。使交通得以有效管制,对于疏导交通流量,提高道路通行能力,减少交通事故有明显效果。如何采用合适的控制方法,最大限度利用好耗费巨资修建的城市高速道路,缓解主干道与匝道、城区同周边地区的交通拥堵状况,越来越成为交通运输管理和城市规划部门亟待解决的主要问题。随着电子技术的发展,利用单片机技术对交通灯进行智能化管理,已成为目前广泛采用的方法。 2,此十字路口交通灯控制系统,分东西道和南北道,设东西道为A道,南北道为B 道。规定:A道放行时间为2分钟,B道放行1.5分钟;绿灯放行,红灯停止;绿灯转红灯时,黄灯亮2秒钟;若有紧急车辆要求通过时,此系统应能禁止普通车辆,而让紧急车辆通过。 3,应用单片机实现对交通灯的控制,在十字路口用红,黄,绿的指示灯,加上四个以倒计时显示的数码管来控制交通。考虑到紧急车辆,设计紧急车辆开关。

电子电路设计交通信号灯讲解

课程设计 本科生通用课设题目:交通信号控制器 电子信息工程专业班级: 名:姓 学号: 指导教师: 2012-12-28 时间: 成绩:

前言 在现代城市中,人口和汽车日益增长,市区交通也日益拥挤,人们的安全问题也日益重要。因此,红绿交通信号灯成为交管部门管理交通的重要工具之一。交通信号灯常用与交叉路口,用来控制车的流量,提高交叉口车辆的通行能力,减少交通事故。有了交通灯人们的安全出行有了很大的保障。 自从交通灯诞生以来,其内部的电路控制系统就不断的被改进,设计方法也开始多种多样,从而使交通灯显得更加智能化、科学化、简便化。尤其是近几年来,随着电子与计算机技术的飞速发展,电子电路分析和设计方法有了很大的改进,电子设计自动化也已经成为现代电子系统中不可缺少的工具和手段,这些为交通灯控制电路的设计提供了一定的技术基础。 本设计通过采用数字电路对交通灯控制电路的设计,提出使交通灯控制电路用数字信号自动控制十字路口两组红、黄、绿交通灯的状态转换的方法,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。因此,在本次课程设计里,将以传统的设计方法为基础来实现设计交通控制信号灯。本实验设计目的是培养数字电路的能力,掌握交通信号灯控制电路的设计方法。 目录 一.设计要求 (3) 二.系统概述 (4) 三.总体设计方案 (5) 四.各部分电路框图 (6) 4.1秒脉冲信号发生器的设计 (6)

4.2定时器的设计 (7) 4.3 控制器的设计 (8) 4.4 显示电路的设计 (11) 五.本人负责的电路设计 (12) 5.1电路图 (12) 5.2设计原理 (13) 5.3工作逻辑原理 (14) 5.4 仿真结果 (15) 六.结束语 (16) 6.1 总结及心得体会 (17) 6.2 总体电路图见附图 (17) 参考文献 (19) 一.设计要求: 设计一个十字多路口交通信号灯控制电路,用来控制主干道和支干道的红,绿,黄三种信号灯的亮与灭; 1、主干道处于允许通行状态亮绿灯60秒,行人灯同时亮绿灯,行人灯绿变红闪烁5秒后主干道开始黄灯闪烁10秒,然后同时变红灯,主干道左、右转弯绿灯亮30秒黄灯5秒变红; 2、支干道绿灯亮50 秒,行人灯亮25秒红灯闪烁,5秒后支干道黄灯闪烁5秒,之后与行人灯同时变红;支干道绿灯

交通信号灯的设计方法

交通信号灯控制电路 一、设计任务与要求 1.设计一个十字路口的交通信号灯控制电路,要求甲车道和乙车道两条交叉道路上的车交替运行。 2.要求黄灯先亮5秒,才能变换行车道。 3.黄灯亮时,要求每秒钟闪亮一次。 二、实验设备 1.数字双踪示波器 2.74LS00、74LS20、74LS74、74LS153、74LS163、74LS138、NE555、发光二极管、电阻、电容 三、实验原理与实验电路 1.实验原理简介 实验电路主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,译码器输出组信号灯的控制信号,经驱动电路后驱动信号灯工作,控制器是系统的主要部分,由它控制定时器和译码器的工作。 下面简要介绍个控制信号的意义: TL:表示甲车道或乙车道绿灯亮的时间间隔是25秒,即两车道正常通行的时间间隔。 定时器时间到,TL=1,否则,TL=0。 TY:表示黄灯亮的时间间隔是5秒,定时时间到,TY=1.,否则,TY=0。 ST:表示定时器到了规定的时间后,由控制器发出状态转换信号。由他控制定时器开始下个工作状态的定时。 AG=1:表示甲车道绿灯亮;BG=1:乙车道绿灯亮; AY=1:表示甲车道黄灯亮;BG=1:乙车道黄灯亮; AR=1:表示甲车道红灯亮;BR=1:乙车道红灯亮; 假设交通信号灯由四种状态组成: 第一种状态:甲车道绿灯亮,乙车道红灯亮。表示甲车道的车辆允许通行,乙车道的车辆禁止通行。绿灯亮足够时间间隔TL时,控制器发出状态信号ST,转到下一个工作状态。 二种状态:甲车道黄灯亮,乙车道红灯亮。表示甲车道上为过停车线的车辆停止通行,已过停车线的车辆继续通行,乙车道禁止通行。黄灯亮足够的时间间隔TY时,控制器发出状态转换信号ST,转到下一个工作状态。 三种状态:甲车道红灯亮,乙车道黄灯亮。表示甲车道禁止通行,乙车道的车辆可以通过。绿灯亮足够规定时间间隔时,控制器发出状态转换信号ST,转到下一工作状态。 四种状态:甲车道红灯来亮,乙车道黄灯亮。表示甲车道禁止通行,乙车道未过停车线的车辆停止通行,已过停车线的车辆继续通行。黄灯亮足规定的时间间隔TY时,控制器发出状态转换信号ST,系统又转换到第一种工作状态。 通信号灯以上四种工作状态是由控制器进行控制的。设控制器的四种状态编码为00、

交通灯电路设计

第三章数字电路课程设计 课程设计1:交通灯逻辑控制电路设计 一、简述: 为了确保十字路口的车辆顺利、畅通地通过,往往都采用自动控制信号灯来进行指挥。其中红灯(R)亮,表示该条道路禁止通行;黄灯(Y)亮表示停车;绿灯(G)亮表示允许通行。交通灯控制电路的系统框图如图3.1.1所示: 图3.1.1 交通灯控制器系统框图 二、设计任务和要求 设计一个十字路口交通信号灯控制器。基本要求如下: 1.满足图3.1.2顺序工作流程。图中设南北方向的红、黄、绿灯分别为NSR、NSY、NSG,东西方向的红、黄、绿灯分别为EWR、EWY、EWG。它们的工作方式有些必须是并行进行的,即南北方向绿灯亮,东西方向红灯亮;南北方向黄灯亮,东西方向红灯亮;南北方向红灯亮,东西方向绿灯亮;南北方向红灯亮,东西方向黄红灯亮。 2.应满足两个方向的工作时序:即东西方向亮红灯时间应等于南北方向亮黄、绿灯时间之和,南北方向亮红灯时间应等于东西方向亮黄、绿灯时间之和。时序工作流程图3.1.3所示。图3.1.3中,假设每个单位时间为3秒,则南北、东西方向绿、黄、红灯亮时间分别15秒、3秒、18秒,一次循环为36秒。其中红灯亮

的时间为绿灯、黄灯亮的时间之和。 图3.1.3 交通灯时序工作流程图 3.十字路口要有数字显示,作为时间提示,以便人们更直观地把握时间。具体为:当某方向绿灯亮时,置显示器为0,然后以每秒加1计数方式方式工作,直至加到绿灯灭为止;当黄灯亮时,置显示器为0,然后以每秒加1计数方式方式工作,直至加到黄灯灭为止;当红灯亮时,置显示器为0,然后以每秒加1计数方式方式工作,直至加到红灯灭为止。例如:假设每个单位时间为3 秒,当南北方向从红灯转换成绿灯时,置南北方向数字显示为0,并使数显计数器开始加“1”计数,当加到绿灯灭而黄灯亮时,数显的值应从14跳回到0,同时黄灯亮,黄灯计数,当数显值从2跳到0时,此时黄灯灭,而南北方向的红灯亮;红灯计数加“1”计数,当加到红灯灭时,数显的值应从17跳回到0。同时,使得东西方向的绿灯亮,并置东西方向开始计数。 4.扩展功能: (1)用LED 发光二极管模拟汽车行驶电路。当某一方向绿灯亮时,这一方向的1 2 3 4 5 6 7 8 9 10 11 12 1 2 3 4 5 6 NSG t

交通灯控制电路

交通灯控制电路 交通灯的课程设计 [要点提示] 一、实验目的 二、实验预习要求 三、实验原理 四、实验仪器设备 五、练习内容及方法 六、实验报告 七、思考题 [内容简介] 一、设计任务与要求 1(设计一个十字路口的交通灯控制电路,要求甲车道和乙车道两条交叉道路上的车辆交替运行,每次通行时间都设为25秒; 2(要求黄灯先亮5秒,才能变换运行车道; 3(黄灯亮时,要求每秒钟闪亮一次。 二、实验预习要求 1(复习数字系统设计基础。 2(复习多路数据选择器、二进制同步计数器的工作原理。 3(根据交通灯控制系统框图,画出完整的电路图。 三、设计原理与参考电路 1(分析系统的逻辑功能,画出其框图

交通灯控制系统的原理框图如图12、1所示。它主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作,控制器是系统的主要部分,由它控制定时器和译码器的工作。图中: TL: 表示甲车道或乙车道绿灯亮的时间间隔为25秒,即车辆正常通行的时间间隔。定时时间到,TL=1,否则,TL=0。 TY:表示黄灯亮的时间间隔为5秒。定时时间到,TY=1,否则,TY=0。 ST:表示定时器到了规定的时间后,由控制器发出状态转换信号。由它控制定时器开始下个工作状态的定时。 2(画出交通灯控制器的ASM图12、1 交通灯控制系统的原理框图(Algorithmic State Machine,算法状 态机)

(1)图甲车道绿灯亮,乙车道红灯亮。表示甲车道上的车辆允许通行,乙车道 禁止通行。绿灯亮足规定的时间隔TL时,控制器发出状态信号ST,转到下一工作状态。 (2)甲车道黄灯亮,乙车道红灯亮。表示甲车道上未过停车线的车辆停止通 行,已过停车线的车辆继续通行,乙车道禁止通行。黄灯亮足规定时间间隔TY 时,控制器发出状态转换信号ST,转到下一工作状态。 (3)甲车道红灯亮,乙车道黄灯亮。表示甲车道禁止通行,乙车道上的车辆允 许通行绿灯亮足规定的时间间隔TL时,控制器发出状态转换信号ST,转到下一工作状态。 (4)甲车道红灯亮,乙车道黄灯亮。表示甲车道禁止通行,乙车道上位过县停 车线的车辆停止通行,已过停车线的车辆停止通行,已过停车线的车辆继续通行。黄灯亮足规定的时间间隔TY时,控制器发出状态转换信号ST,系统又转换到第(1)种工作状态。 交通灯以上4种工作状态的转换是由控制器器进行控制的。设控制器的四种状态编码为00、01、11、10,并分别用S0、S1、S3、S2表示,则控制器的工作状态及功能如表12、1所示,控制器应送出甲、乙车道红、黄、绿灯的控制信号。为简便起见,把灯的代号和灯的驱动信号合二为一,并作如下规定: 表12、1 控制器工作状态及功能 控制状态信号灯状态车道运行状态 S0(00) 甲绿,乙红甲车道通行,乙车道禁止通行 S1(01) 甲黄,乙红甲车道缓行,乙车道禁止通行 S3(11) 甲红,乙绿甲车道禁止通行,甲车道通行 S2(10) 甲红,乙黄甲车道禁止通行,甲车道缓行 AG=1:甲车道绿灯亮;

课程设计交通信号灯汇总

课程设计说明书(2012 /2013 学年第 2 学期) 课程名称: 题目:交通信号灯 专业班级:电气一班 学生姓名: 学号: 指导教师: 设计周数:两周 设计成绩: 2013年7 月3 日 1、课程设计目的 (3)

2、课程设计软件部分 (3) 2.1设计内容及要求 (3) 2.1.1课程设计内容 (3) 2.1.2课程设计要求 (4) 2.2系统分析 (4) 2.3系统设计 (4) 3、课程设计硬件部分 (5) 3.1方案设计 (5) 3.2单元电路设计 (5) 3.2.1秒脉冲发生器 (5) 3.2.2计数电路的设计 (6) 3.2.3控制电路的设计 (7) 3.2.4显示电路的设计 (7) 3.2.5数码管显示的设计 (8) 3.2.6设计总原理图 (9) 3.3系统调试 (10) 4、课程设计总结 (10) 5、参考文献 (11) 1、课程设计目的

在城镇街道的十字交叉路口,为了保证交通秩序和行人安全,一般在每条道路上各有一组红、黄、绿交通信号灯,其中红灯亮,表示该条道路禁止通行;黄灯亮表示该条道路上未过停车线的车辆停止通行,已过停车线的车辆继续通行;绿灯亮表示该条道路允许通行。交通灯控制电路自动控制十字路口两组红、黄、绿交通灯的状态转换,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。 2、课程设计软件部分 2.1设计内容及要求 2.1.1课程设计内容 A满足顺序工作流程:南北绿灯亮、东西红灯亮,占20S,南北黄灯亮、东西红灯亮,占4S,南北红灯亮、东西绿灯亮,占20S,南北红灯亮、东西黄灯亮,占4S。 B他们的工作方式,有些必须是并行进行的。南北绿,东西红。 南北黄,东西红。南北红,东西绿。南北红,东西黄。 C十字路口要有数字显示,作为事件提示,一边人们直接的把握事件。 D可以手动调整和自动控制,夜间为黄灯闪耀。 E满足两个方向的工作时序:既东西方向亮红灯事件应等于南北方向亮黄、绿灯时间之和,南北方向亮红灯时间应等于东西方向亮黄、绿灯时间之和。 F倒计时的方法,数字显示当前信号的剩余时间,提醒行人和司机。 G信号灯的时间分别可调,以适应不同路口,不同路段交通流量的需求 2.1.2课程设计要求 A单电源5V供电 B南北、东西干道轮流通行由L E D显示,计时又数码管控制 C实现功能所用的器件的成本低,数量少为最佳

交通灯控制电路设计与仿真

交通灯控制电路设计与仿真 一、实验目的 1、了解交通灯的燃灭规律。 2、了解交通灯控制器的工作原理。 3、熟悉 VHDL 语言编程,了解实际设计中的优化方案。 二、实验原理 交通灯的显示有很多方式,如十字路口、丁字路口等,而对于同一个路口又有很多不同的显示要求,比如十字路口,车辆如果只要东西和南北方向通行就很简单,而如果车子可以左右转弯的通行就比较复杂,本实验仅针对最简单的南北和东西直行的情况。要完成本实验,首先必须了解交通路灯的燃灭规律。本实验需要用到实验箱上交通灯模块中的发光二极管,即红、黄、绿各三个。依人们的交通常规,“红灯停,绿灯行,黄灯提醒”。其交通的燃灭规律为:初始态是两个路口的红灯全亮,之后,东西路口的绿灯亮,南北路口的红灯亮,东西方向通车,延时一段时间后,东西路口绿灯灭,黄灯开始闪烁。闪烁若干次后,东西路口红灯亮,而同时南北路口的绿灯亮,南北方向开始通车,延时一段时间后,南北路口的绿灯灭,黄灯开始闪烁。闪烁若干次后,再切换到东西路口方向,重复上述过程。 在实验中使用 8 个七段码管中的任意两个数码管显示时间。东西路和南北路的通车时间均设定为 20s。数码管的时间总是显示为 19、18、17……2、1、0、19、18……。在显示时间小于 3 秒的时候,通车方向的黄灯闪烁。 三、实验内容 本实验要完成任务就是设计一个简单的交通灯控制器,交通灯显示用实验箱 的交通灯模块和七段码管中的任意两个来显示。系统时钟选择时钟模块的 1KHz 时钟,黄灯闪烁时钟要求为 2Hz,七段码管的时间显示为 1Hz脉冲,即每 1s 中递 减一次,在显示时间小于 3 秒的时候,通车方向的黄灯以 2Hz 的频率闪烁。系统 中用 S1 按键进行复位。 实验箱中用到的数字时钟模块、按键开关、数码管与 FPGA 的接口电路,以及 数字时钟源、按键开关、数码管与 FPGA 的管脚连接在以前的实验中都做了详细说 明,这里不在赘述。交通灯模块原理与 LED 灯模块的电路原理一致,当有高电平输 入时 LED 灯就会被点亮,反之不亮。只是 LED 发出的光有颜色之分。其与 FPGA 的 管脚连接如下表 19-1 所示: 四、实验步骤 1、打开 QUARTUSII 软件,新建一个工程。 2、建完工程之后,再新建一个 VHDL File,打开 VHDL 编辑器对话框。

交通灯控制电路设计数电课程设计+数字电路课程设计

数字电子技术课程设计 简易交通灯控制逻辑电路设计 专业班级:09自动化一班 时间:2011.12.12-2011.12.19 姓名: 指导教师: :郭计云

大同大学电气工程系

目录 一、课程题目 (2) 二、设计要求 (2) 三、系统框图及说明 (2) 四、单元电路设计 (4) 五、仿真过程与效果分析 (12) 六、体会总结 (13) 七、参考文献 (13)

《一》课程设计题目: 交通灯控制电路设计 《二》设计要求: 1、设计一个十字路口的交通灯控制电路,要求南北方向(主干道)车道和东西方向(支干道)车道两条交叉道路上的车辆交替运行,主干道每次通行时间都设为30秒、支干道每次通行时间为20秒,时间可设置修改。 2、在绿灯转为红灯时,要求黄灯先亮5秒钟,才能变换运行车道; 3、黄灯亮时,要求每秒闪亮一次。 4、东西方向、南北方向车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用显示器进行显示(采用计时的方法)。 5、同步设置人行横道红、绿灯指示。 《三》系统框图及说明: 1、分析系统的逻辑功能,画出其框图 交通灯控制系统的原理框图如图1-1 所示。它主要由计时电路、主控电路、信号 灯转换器和脉冲信号发生器组成。脉冲信号发生器用的是555 定时器;计时计数器是由74LS160 来完成、输出四组驱动信号T0 和T3 经信号灯转换器(4 片7448)来控制信号灯工作,主控电路是系统的主要部分,由它控制信号灯转换器的工作。

(图1-1)2、信号灯转换器

状态与车道运行状态如下:S0:支干道车道的绿灯亮,车道通行,人行道禁止通行;主干道车道的红灯亮,车道禁止通行,人行道通行 S1:支干道车道的黄灯亮,车道缓行,人行道禁止通行;主干道车道的红灯亮,车道禁止通行,人行道通行 S2:支干道车道的红灯亮,车道禁止通行,人行道通行;主干道车道的绿灯亮,车道

交通灯的仿真与设计

交通灯的仿真与设计 1.引言 在城镇街道的十字交叉路口,为了保证交通秩序和行人安全,一般在每条道路上各有一组红、黄、绿交通信号灯,其中红灯亮,表示该条道路禁止通行;黄灯亮表示该条道路上未过停车线的车辆停止通行,已过停车线的车辆继续通行;绿灯亮表示该条道路允许通行。交通灯控制电路自动控制十字路口两组红、黄、绿交通灯的状态转换,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。交通信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力、减少交通事故有明显效果。因此,如何采用合适的方法,使交通信号灯的控制与交通疏导有机结合,最大限度缓解主干道与匝道、城区同周边地区的交通拥堵状况,越来越成为交通运输管理和城市规划部门亟待解决的主要问题。以下就一简单的交通灯控制系统的原理、设计和仿真等问题进行讨论。2.设计任务与要求 2.1 设计任务 1、设计一个十字路口的交通灯控制电路,要求甲车道和乙车道两条交叉道路上的车辆交替运行,每次通行时间都设为25秒; 2、要求黄灯先亮5秒,才能变换运行车道; 3、黄灯亮时,要求每秒钟闪亮一次 4、每路口均有时间显示(两位LED) 2.2 设计要求: 1、画出总体设计框图,以说明交通灯由哪些相对独立的功能模块组成,标出各个模块之间互相联系,时钟信号传输路径、方向和频率变化。并以文字对原理作辅助说明。 2、设计各个功能模块的电路图、真值表(或状态转换图)并加上原理说明。 3、有条件时选择合适的元器件,在面包上接线验证、调试各个功能模块的电路,在接线验证时设计、选择合适的输入信号和输出方式,在充分电路正确性同时,输入信号和输出方式要便于电路的测试和故障排除。 4、设计整个电路的电路图,加上原理说明。有条件时对整个电路的元器件和布线进行合理布局,并进行整个交通灯电路的接线调试。 3.交通灯控制电路的设计方案 3.1 总体方案设计

交通信号灯设计报告

单片机应用技术报告 题目:交通灯应用系统 班级:10电子信息 小组:第九组

目录 1.摘要 2.51单片机的功能与简介 3.交通灯方案 4.主程序流程图 5.原理图及说明 6.调试过程及流程图 7.总结 摘要:分析了现代城市交通控制与管理问题的现状,结合城乡交通的实际情况阐述了交通灯控制系统的工作原理,给出了一种简单实用的

城市交通灯控制系统的硬件电路设计方案。 1、51单片机的功能与简介 51单片机是对目前所有兼容Intel 8031指令系统的单片机的统称。该系列单片机的始祖是Intel的8031单片机,后来随着Flash ROM 技术的发展,8031单片机取得了长足的进展,成为目前应用最广泛的8位单片机之一,其代表型号是ATMEL 公司的AT89系列,它广泛应用于工业测控系统之中。目前很多公司都有51系列的兼容机型推出,在目前乃至今后很长的一段时间内将占有大量市场。51单片机是基础入门的一个单片机,还是应用最广泛的一种,对初学者来说是比较适合的学习单片机的。 2、交通信号灯方案: (1)、南北直行绿灯亮,东西直行红灯亮,延时。 (2)、南北直行绿灯闪烁几次转黄灯,南北左转(固定绿灯)亮,南北直行红灯亮,东西直行仍然红灯亮,延时。 (3)、南北左转灯闪几次转黄灯,东西直行绿灯亮,南北直行仍然红灯,延时。

(4)、东西直行绿灯闪烁几次转黄灯,东西左转灯亮,东西直行红灯亮,南北直行仍然红灯,延时。 (5)、循环至1,继续。(这里左转时绿灯亮,不转时灭) (6)、倒计时部分。(南北、东西方向时间独立) 3、引脚分配及元件清单 5、主程序流程图:

交通灯控制逻辑电路设计

交通灯控制逻辑电路设计 一、简述 为了确保十字路口的车辆顺利畅通地行驶,往往都采用自动控制的交通信号灯来进行指挥。其中红灯(R)亮,表示该条道路禁止通行;黄灯(Y)亮表示停车;绿灯(G)亮表示允许通行。 交通灯控制器的系统框.图如图4.1 所示。

二、设计任务和要求 设计一个十字路口交通信号灯控制器,其要求如下: 1.设南北方向的红、黄、绿灯分别为NSR,NSY,NSG;东西方向的红、黄、绿灯 分别为EWR,EWY,EWG,则满足图4.1 的工作 流程并且可以并行工作: NSG(EWR)→ NSR(EWG),黄灯用 于闪烁提示绿灯变为红灯。 2.满足两个方向的工作时序:东西方向红灯亮的时间应等于南北方 向黄、绿灯亮的时间之和;南北方向红灯亮的时间应等于东西方 向黄、绿灯亮的时间之和。时序工作流程见图4.2所示:

图4.3中,假设每个单位时间为2秒,则南北、东西方向的绿、黄、红灯亮的时间分别为12秒、2秒、12秒,一次循环为24秒。 其中红灯亮的时间为绿灯、黄灯亮的时间之和,黄灯是间歇闪耀。 3.十字路口要有数字显示装置,作为时间提示,以便人们更直观地 把握时间。具体要求为:当某方向绿灯亮时,置计数器为某一个 数值,然后以每秒减1的计数方式工作,直至减到数为“0”,十 字路口红、绿灯交换,一次工作循环结束,进入另一个方向的工 作循环。 例如:当南北方向从红灯转换成绿灯时,置南北方向数字显示为 12,并使数显计数器开始减“1”计数,当减法计数到绿灯灭而黄 灯亮(闪耀)时,数码管显示的数值应为2,当减法计数到“0” 时,黄灯灭,而南北方向的红灯亮;同时,使得东西方向的绿灯 亮,并置东西方向的数码管的显示为12。 4.可以手动调整脉冲时间,夜间为黄灯闪耀。 三、设计方案提示

交通灯控制电路

武汉工程大学邮电与信息工程学院实验报告 姓名张宇学号7402150330 指导老师 专业07自动化班级 03 实验室日期 实验题目交通灯控制器的EWB仿真 一、实验目的 通过前面几节的阐述,大家对EWB软件中的元件及仪器的应用有了一定的概念,所以这一节选择了综合性较强的电路——交通灯控制器的仿真设计。 二、实验内容 设计一个主要街道和次要街道十字路口的交通灯控制器。当主要街道绿灯亮6秒时,次要街道的红灯亮;接着主要街道黄灯亮2秒,次要街道的红灯仍然亮;紧接着次要街道的绿灯亮3秒,这时主要街道红灯亮;然后次要街道的黄灯亮1秒,主要街道红灯依然亮;最后主要街道绿灯亮,次要街道变红灯,依次顺序循环控制。 三、实验原理 根据以上要求可知主要街道从绿灯亮到下一次绿灯亮共需12秒,由上述要求可列出这六个灯的真值表,如表8-2所示,其中MG、MY、MR、CG、CY、CR分别表示主要街道的绿灯、黄灯、红灯,次要街道的绿灯、黄灯、红灯。各灯的函数表达式克由EWB的逻辑转换仪获得。因为一次循环需要12秒,所以输入端可应用74LS163芯片来完成时间上的控制。其管脚和功能表基本上与74LS160一样,只是它是二进制计数器,时钟为下跳边触发。首先,12秒一循环相当是一

个模12技术,因此应将Q D、Q B和Q A通过一与非门接到芯片的CR清零端;其次,CP时钟端应输入1Hz的脉冲信号(这一元件可在电源元件库中找到,点中该元件后按鼠标右键,在属性一栏中修改它的输出频率为1Hz);最后,根据下面所得的函数表达式完成电路设计。 M G D C D B D C D B =+=? = M Y C B = M R D =+=? C G D B D A D B D A = C Y D B A = C R D 四、交通灯控制器电路的实现及结果仿真 由上述函数式可画出相应的电路图,按图所示的电路进行仿真,结果达到预期目的。为更直观地观察各灯之间的关系,我们在上图的基础上,街上逻辑分析仪进行仿真测试。如果出错,从图中也能很直观

交通灯(proteus仿真设计+程序)

52单片机简易交通灯proteus仿真设计原理 交通灯作为日常生活中必不可少的交通标志,它的设计是单 片机初学者必不可少要接受的一项课题,下面简单介绍用proteus 仿真一个由52单片机控制的简易交通灯。 本设计主要要求以下几个方面:一是根据系统控制要求设计 硬件电路,这里是用PROTEUS软件来完成;二是根据硬件电路编写 相应的程序流程图然后编写相关程序,这里程序的编制主要是用KeilC51软件来完成;三是在KEIL上用已经编好的程序生成.hex 文件载入到PROTEUS中,实现PROTEUS与KEIL的联调,完成调试 和仿真,观察调试结果是否满足设计要求,。 一:设计方案及重点: 首先南北方向红灯、东西方向绿灯亮,南北方向红灯35秒、东西方向绿灯35秒,相应的数码管显示对应的数字并读秒,同时南北方向红色的交通灯和东西方向的绿色交通灯接通点亮显示,当东西方向的绿灯时间到,则东西方向的绿灯转为黄灯,同时数码管显示黄灯的时间3秒,东西方向的黄色二极管接通点亮,此时南北方向的红灯不变。南北方向的红灯和东西方向的黄灯时间同时到,此时南北方向的红灯跳转为绿灯,时间同北方向的绿灯时间到,南北绿灯跳转为黄灯,东西方向的红灯不变,当南北方向的黄灯和东西方向的红灯时间到,南北方向的黄灯跳转为红灯,东西方向的红灯跳转为绿灯。

设计重点: 1.数码显示管的计时 2.数码管控制交通灯的转换 3.锁存器与位选器端口的选择 4.电路连接与程序编写 二:仿真器件的介绍: 1.单片机芯片:AT89C52, AT89C52是一个低电压,高性能CMOS 8位单片机, AT89C52单片机在电子行业中有着广泛的应用。 2.数码管:7SEG-MPX2-CC,这是一个两位数共阴极的数码管, 1就是左边数码管的阴极2就是右边数码管的阴极,a,b,c,d,e,f,g,就是数码管的段码,dp就是数码管的小数点 3.锁存器与位选器:74HC573,具体作用:74HC573锁存器在数码管显示时作用的确是为了节省IO口,单片机P0口先发送abcdefghp段选信号,这时使用一个74HC573将段选信号保存住,单片机P0口再发送位选信号,此时单片机P0口信号不影响被锁存住的段选信号。,使用另一个74HC573锁存住位选信号。按以上循环,显示8位数码管只需要10个IO口。 4.上拉电阻:RESPACK-8,作用,拉高端口电压,稳定端口电压值。 5.交通灯:TRAFFIC LIGHTS。

相关主题
文本预览
相关文档 最新文档