当前位置:文档之家› 差分走线,蛇形线的走线注意

差分走线,蛇形线的走线注意

差分走线,蛇形线的走线注意
差分走线,蛇形线的走线注意

电子博客网作者:不详

布线(Layout)是PCB设计工程师最基本的工作技能之一。走线的好坏将直接影响到整个系统的性能,大多数高速的设计理论也要最终经过Layout得以实现并验证,

由此可见,布线在高速PCB设计中是至关重要的。下面将针对实际布线中可能遇到的一些情况,分析其合理性,并给出一些比较优化的走线策略。主要从直角走线,

差分走线,蛇形线等三个方面来阐述。

1.直角走线

直角走线一般是PCB布线中要求尽量避免的情况,也几乎成为衡量布线好坏的标准之一,那么直角走线究竟会对信号传输产生多大的影响呢?从原理上说,

直角走线会使传输线的线宽发生变化,造成阻抗的不连续。其实不光是直角走线,顿角,锐角走线都可能会造成阻抗变化的情况。

直角走线的对信号的影响就是主要体现在三个方面:一是拐角可以等效为传输线上的容性负载,减缓上升时间;二是阻抗不连续会造成信号的反射;

三是直角尖端产生的EMI。

传输线的直角带来的寄生电容可以由下面这个经验公式来计算:

C="61W"(Er)1/2/Z0

在上式中,C就是指拐角的等效电容(单位:pF),W指走线的宽度(单位:inch),εr指介质的介电常数,Z0就是传输线的特征阻抗

。举个例子,对于一个4Mils的50欧姆传输线(εr为4.3)来说,一个直角带来的电容量大概为0.0101pF,进而可以估算由此引起的上升时间变化量:

T10-90%=2.2*C*Z0/2 = 2.2*0.0101*50/2 = 0.556ps

通过计算可以看出,直角走线带来的电容效应是极其微小的。

由于直角走线的线宽增加,该处的阻抗将减小,于是会产生一定的信号反射现象,我们可以根据传输线章节中提到的阻抗计算公式来算出线宽增加后的等效阻抗,然后根据经验公式计算反射系数:ρ=(Zs-Z0)/(Zs+Z0),一般直角走线导致的阻抗变化在7%-20%之间,因而反射系数最大为0.1左右。而且,从下图可以看到,在W/2线长的时间内传输线阻抗变化到最小,再经过W/2时间又恢复到正常的阻抗,整个发生阻抗变化的时间极短,往往在10ps之内,

这样快而且微小的变化对一般的信号传输来说几乎是可以忽略的。

很多人对直角走线都有这样的理解,认为尖端容易发射或接收电磁波,产生EMI,这也成为许多人认为不能直角走线的理由之一。

然而很多实际测试的结果显示,直角走线并不会比直线产生很明显的EMI。也许目前的仪器性能,测试水平制约了测试的精确性,

但至少说明了一个问题,直角走线的辐射已经小于仪器本身的测量误差。

总的说来,直角走线并不是想象中的那么可怕。至少在GHz以下的应用中,其产生的任何诸如电容,反射,EMI等效应在TDR测试中几乎体现不出来,

高速PCB设计工程师的重点还是应该放在布局,电源/地设计,走线设计,过孔等其他方面。当然,尽管直角走线带来的影响不是很严重,但并不是说我们以后都可以走直角线,注意细节是每个优秀工程师必备的基本素质,而且,随着数字电路的飞速发展,

PCB工程师处理的信号频率也会不断提高,到10GHz以上的RF设计领域,这些小小的直角都可能成为高速问题的重点对象。

2.差分走线

差分信号(Differential Signal)在高速电路设计中的应用越来越广泛,电路中最关键的信号往往都要采用差分结构设计,

什么另它这么倍受青睐呢?在PCB设计中又如何能保证其良好的性能呢?带着这两个问题,我们进行下一部分的讨论。

何为差分信号?通俗地说,就是驱动端发送两个等值、反相的信号,接收端通过比较这两个电压的差值来判断逻辑状态“0”还是“1”。而承载差分信号的那一对走线就称为差分走线。

差分信号和普通的单端信号走线相比,最明显的优势体现在以下三个方面:

a.抗干扰能力强,因为两根差分走线之间的耦合很好,当外界存在噪声干扰时,几乎是同时被耦合到两条线上,

而接收端关心的只是两信号的差值,所以外界的共模噪声可以被完全抵消。

b.能有效抑制EMI,同样的道理,由于两根信号的极性相反,他们对外辐射的电磁场可以相互抵消,耦合的越紧密,泄放到外界的电磁能量越少。

c.时序定位精确,由于差分信号的开关变化是位于两个信号的交点,而不像普通单端信号依靠高低两个阈值电压判断,因而受工艺,温度的影响小,

能降低时序上的误差,同时也更适合于低幅度信号的电路。目前流行的LVDS(low voltage differential signaling)就是指这种小振幅差分信号技术。

对于PCB工程师来说,最关注的还是如何确保在实际走线中能完全发挥差分走线的这些优势。也许只要是接触过Layout的人都会了解差分走线的一般要求,那就是“等长、等距”。等长是为了保证两个差分信号时刻保持相反极性,减少共模分量;等距则主要是为了保证两者差分阻抗一致,减少反射。

“尽量靠近原则”有时候也是差分走线的要求之一。但所有这些规则都不是用来生搬硬套的,不少工程师似乎还不了解高速差分信号传输的本质。

下面重点讨论一下PCB差分信号设计中几个常见的误区。

误区一:认为差分信号不需要地平面作为回流路径,或者认为差分走线彼此为对方提供回流途径。造成这种误区的原因是被表面现象迷惑,

或者对高速信号传输的机理认识还不够深入。从图1-8-15的接收端的结构可以看到,晶体管Q3,Q4的发射极电流是等值,反向的,

他们在接地处的电流正好相互抵消(I1=0),因而差分电路对于类似地弹以及其它可能存在于电源和地平面上的噪音信号是不敏感的。

地平面的部分回流抵消并不代表差分电路就不以参考平面作为信号返回路径,其实在信号回流分析上,差分走线和普通的单端走线的机理是一致的

,即高频信号总是沿着电感最小的回路进行回流,最大的区别在于差分线除了有对地的耦合之外,还存在相互之间的耦合,哪一种耦合强,

那一种就成为主要的回流通路,图1-8-16是单端信号和差分信号的地磁场分布示意图。

在PCB电路设计中,一般差分走线之间的耦合较小,往往只占10~20%的耦合度,更多的还是对地的耦合,所以差分走线的主要回流路径还是存在于地平面。当地平面发生不连续的时候,无参考平面的区域,差分走线之间的耦合才会提供主要的回流通路,见图1-8-17所示。

尽管参考平面的不连续对差分走线的影响没有对普通的单端走线来的严重,但还是会降低差分信号的质量,增加EMI,要尽量避免。

也有些设计人员认为,可以去掉差分走线下方的参考平面,以抑制差分传输中的部分共模信号,但从理论上看这种做法是不可取的,

阻抗如何控制?不给共模信号提供地阻抗回路,势必会造成EMI辐射,这种做法弊大于利。

误区二:认为保持等间距比匹配线长更重要。在实际的PCB布线中,往往不能同时满足差分设计的要求。由于管脚分布,过孔,

以及走线空间等因素存在,必须通过适当的绕线才能达到线长匹配的目的,但带来的结果必然是差分对的部分区域无法平行

,这时候我们该如何取舍呢?在下结论之前我们先看看下面一个仿真结果。

从上面的仿真结果看来,方案1和方案2波形几乎是重合的,也就是说,间距不等造成的影响是微乎其微的,相比较而言,

线长不匹配对时序的影响要大得多(方案3)。再从理论分析来看,间距不一致虽然会导致差分阻抗发生变化,

但因为差分对之间的耦合本身就不显著,所以阻抗变化范围也是很小的,通常在10%以内,只相当于一个过孔造成的反射,

这对信号传输不会造成明显的影响。而线长一旦不匹配,除了时序上会发生偏移,还给差分信号中引入了共模的成分,降低信号的质量,增加了EMI。可以这么说,PCB差分走线的设计中最重要的规则就是匹配线长,其它的规则都可以根据设计要求和实际应用进行灵活处理。

误区三:认为差分走线一定要靠的很近。让差分走线靠近无非是为了增强他们的耦合,既可以提高对噪声的免疫力,

还能充分利用磁场的相反极性来抵消对外界的电磁干扰。虽说这种做法在大多数情况下是非常有利的,但不是绝对的,

如果能保证让它们得到充分的屏蔽,不受外界干扰,那么我们也就不需要再让通过彼此的强耦合达到抗干扰和抑制EMI的目的了。

如何才能保证差分走线具有良好的隔离和屏蔽呢?增大与其它信号走线的间距是最基本的途径之一,电磁场能量是随着距离呈平方关系递减的,

一般线间距超过4倍线宽时,它们之间的干扰就极其微弱了,基本可以忽略。此外,通过地平面的隔离也可以起到很好的屏蔽作用,

这种结构在高频的(10G以上)IC封装PCB设计中经常会用采用,被称为CPW结构,可以保证严格的差分阻抗控制(2Z0),如图1-8-19。

差分走线也可以走在不同的信号层中,但一般不建议这种走法,因为不同的层产生的诸如阻抗、过孔的差别会破坏差模传输的效果,

引入共模噪声。此外,如果相邻两层耦合不够紧密的话,会降低差分走线抵抗噪声的能力,但如果能保持和周围走线适当的间距,

串扰就不是个问题。在一般频率(GHz以下),EMI也不会是很严重的问题,实验表明,相距500Mils的差分走线,

在3米之外的辐射能量衰减已经达到60dB,足以满足FCC的电磁辐射标准,所以设计者根本不用过分担心差分线耦合不够而造成电磁不兼容问题。

3.蛇形线

蛇形线是Layout中经常使用的一类走线方式。其主要目的就是为了调节延时,满足系统时序设计要求。设计者首先要有这样的认识:

蛇形线会破坏信号质量,改变传输延时,布线时要尽量避免使用。但实际设计中,为了保证信号有足够的保持时间,或者减小同组信号之间的时间偏移,

往往不得不故意进行绕线。

那么,蛇形线对信号传输有什么影响呢?走线时要注意些什么呢?其中最关键的两个参数就是平行耦合长度(Lp)和耦合距离(S),

如图1-8-21所示。很明显,信号在蛇形走线上传输时,相互平行的线段之间会发生耦合,呈差模形式,S越小,Lp越大,

则耦合程度也越大。可能会导致传输延时减小,以及由于串扰而大大降低信号的质量,其机理可以参考第三章对共模和差模串扰的分析。

下面是给Layout工程师处理蛇形线时的几点建议:

1.尽量增加平行线段的距离(S),至少大于3H,H指信号走线到参考平面的距离。通俗的说就是绕大弯走线,只要S足够大,就几乎能完全避免相互的耦合效应。

2.减小耦合长度Lp,当两倍的Lp延时接近或超过信号上升时间时,产生的串扰将达到饱和。

3.带状线(Strip-Line)或者埋式微带线(Embedded Micro-strip)的蛇形线引起的信号传输延时小于微带走线(Micro-strip)。

理论上,带状线不会因为差模串扰影响传输速率。

4.高速以及对时序要求较为严格的信号线,尽量不要走蛇形线,尤其不能在小范围内蜿蜒走线。

5.可以经常采用任意角度的蛇形走线,如图1-8-20中的C结构,能有效的减少相互间的耦合。

6.高速PCB设计中,蛇形线没有所谓滤波或抗干扰的能力,只可能降低信号质量,所以只作时序匹配之用而无其它目的。

7.有时可以考虑螺旋走线的方式进行绕线,仿真表明,其效果要优于正常的蛇形走线。

PCBLayout中的直角走线、差分走线和蛇形线

布线(Layout)是PCB设计工程师最基本的工作技能之一。走线的好坏将直接影响到整个系统的性能,大多数高速的设计理论也要最终经过Layout 得以实现并验证,由此可见,布线在高速PCB 设计中是至关重要的。下面将针对实际布线中可能遇到的一些情况,分析其合理性,并给出一些比较优化的走线策略。 主要从直角走线,差分走线,蛇形线等三个方面来阐述。 1.直角走线 直角走线一般是PCB布线中要求尽量避免的情况,也几乎成为衡量布线好坏的标准之一,那么直角走线究竟会对信号传输产生多大的影响呢?从原理上说,直角走线会使传输线的线宽发生变化,造成阻抗的不连续。其实不光是直角走线,顿角,锐角走线都可能会造成阻抗变化的情况。 直角走线的对信号的影响就是主要体现在三个方面: 一是拐角可以等效为传输线上的容性负载,减缓上升时间; 二是阻抗不连续会造成信号的反射; 三是直角尖端产生的EMI。 传输线的直角带来的寄生电容可以由下面这个经验公式来计算: C=61W(Er)[size=1]1/2[/size]/Z0 在上式中,C 就是指拐角的等效电容(单位:pF),W指走线的宽度(单位:inch),εr 指介质的介电常数,Z0就是传输线的特征阻抗。举个例子,对于一个4Mils的50欧姆传输线(εr为4.3)来说,一个直角带来的电容量大概为0.0101pF,进而可以估算由此引起的上升时间变化量: T10-90%=2.2*C*Z0/2 = 2.2*0.0101*50/2 = 0.556ps 通过计算可以看出,直角走线带来的电容效应是极其微小的。 由于直角走线的线宽增加,该处的阻抗将减小,于是会产生一定的信号反射现象,我们可以根据传输线章节中提到的阻抗计算公式来算出线宽增加后的等效阻抗,然后根据经验公式计算反射系数: ρ=(Zs-Z0)/(Zs+Z0) 一般直角走线导致的阻抗变化在7%-20%之间,因而反射系数最大为0.1左右。而且,从下图可以看到,在W/2线长的时间内传输线阻抗变化到最小,再经过W/2时间又恢复到

PCB蛇形走线

Altium Designer PCB实用技巧拾遗 Ling.Ju 2011.7 -1-

-2- 问题1:AD 布蛇形线方法........................................................................................................................................................................................................................................................................................................................................................................................................................................................33问题2:大电流走线中去除阻焊层........................................................................................................................................................................................................................................................................................................................................................................................................................1010问题3:总线画法......................................................................................................................................................................................................................................13问题4:从原理图到PCB ........................................................................................................................................................................................................................................................................................................................................................................................................................................................1414问题5:走线中换层、操作过孔、操作走线..........................................................................................................................................................................................................................................................................................................................................................................................1717问题6:走线推挤与连线方式快速设置....................................................................................................................................................................................................20问题7:简易图元的PCB 黏贴...................................................................................................................................................................................................................22问题8:复杂图元(:复杂图元(LOGO LOGO LOGO)的)的PCB 制作....................................................................................................................................................................................................23问题9:栅格设置与捕获......................................................................................................................................................................................................................................................................................................................................................................................................................................................2626问题1010:丝印文字反色输出及位置设置:丝印文字反色输出及位置设置..................................................................................................................................................................................................27问题1111:各种:各种:各种~~多边形填充.......................................................................................................................................................................................................................29问题1212::PCB 中高亮选中网络..................................................................................................................................................................................................................30问题1313:单层操作与定制操作:单层操作与定制操作.................................................................................................................................................................................................................32问题1414:多层线的操作:多层线的操作..........................................................................................................................................................................................................................................................................................................................................................................................................................................................4040问题1515:走线切片的操作:走线切片的操作.........................................................................................................................................................................................................................42问题1616:对等差分线的设置与走线:对等差分线的设置与走线....................................................................................................................................................................................................................................................................................................................................................................................................................4545问题1717::3D 显示操作................................................................................................................................................................................................................................................................................................................................................................................................................................................................4848问题1818:快速放大缩小视图 :快速放大缩小视图 (50)

dxp设计技巧实例笔记(包含蛇形走线)

1)如何使PCB图的背景和边框一致? 按住shift选中所有边框,Design->Board shape->Define from selected objects 2)线条形成回路就自动删除原来的线 解决办法:place line就不会改变原来的。Place route会改变。 3)同一个项目几个原理图的同一个网络,用不同的网络标号,结果生成网络表时用第一个 标注的网络标号。 4)检查PowerPCB印制板图的网络表的方法: 把印制板图生成的网络表转换成protel格式,在protel99里先随便导入一个PCB图,然后import两个网络表,用protel99的网络表-》高级-》菜单-》比较网络表 在DXP里用reports-》report single pin nets检查没有连线的空管脚是否有遗漏。 5)Pb-free Package 无铅 6) 元器件自动编号:Tools >> annotate 7) 材料表:Reports >>bill of materials,或Reports >>simple BOM 8) 测量尺寸:Ctrl+M 9) PROTEL走线时改线宽:按TAB键。 10) QFP封装元器件管脚间距≥0.5mm 11) 反面一般只能放2PIN器件,多PIN器件重量不能超过2克 12) QFP、BGA器件周围3mm不放其他器件 13) 表贴元器件最小0603封装 14) DCP010505BP输入电容用2.2uF/0805封装陶瓷电容,输出电容用一个1uF/0805封装陶瓷 电容和一个10V/10uF电解电容 15) 多上下拉电阻用0603封装电阻,用表贴排阻的话供货厂家少 16) 如何让相同的器件依次编号?先RESET ALL(先打开所有项目文档,在不LOCK状态 下RESET ALL),然后全部LOCK(鼠标右键FIND SIMILATE OBJECT,选勾select matching,选择OPEN DOCUMENT,在INSPECTOR中选择LOCK DESIGNATOR),然后过滤某种器件,解除LOCK,然后用Tools >> annotate对该种器件编号,然后不用(清除过滤和LOCK编好号的器件),直接过滤另外一种器件,解除LOCK,其后步骤同上。 17) 在PowerPCB里导出网络表:File >>Report >>PowerPCB V3.0 Format Netlist 18) Dxp2004点亮网络:编辑(E),选择(S),物理连接(C)快捷键:Ctrl+H 19) 如何将修改应用到多个图纸? 在Find Similar Objects对话框,下面的复选框除了“Create Expression”不选,其他全选,下拉列表选“Open Documents”。在Inspector对话框Include xxx from open documents。 20) Tools >> annotate 里也可以把元器件编号全部复位。 21) PCB图改变连线为任意角度或弧线的快捷方式:shift+空格。每按一次,改变一种连线 方式。 22) 过孔是默认不加阻焊层(绿油)的,可在过孔的属性:Force complete tenting on top 和Force complete tenting on bottom两项中进行选择,打勾即加阻焊层。 23) TOP PASTE:表面意思是指顶层焊膏层,就是说可以用它来制作印刷锡膏的钢网,这 一层只需要露出所有需要贴片焊接的焊盘,并且开孔可能会比实际焊盘小。这一层资料不需要提供给PCB厂。

蛇形走线参数设置

1.简介 蛇形走线是布线中经常使用的一种走线方式。其主要目的就是为了调节延时,满足系统时序设计要求。但是设计者首先要有这样的认识:蛇形走线会破坏信号质量,改变传输时延,布线时要尽量避免使用。但实际设计中,为了保证信号有走过足够的保持时间,或者减小同组信号之间的时间偏移,往往不得不故意进行绕线。 当在长度规则设置下开始走线时,有时需要通过使用蛇形走线以达到所需的走线长度。蛇形走线的示例如图10-60 所示,其中最关键的两个参数就是耦合幅度(Ap) 和耦合距离(Gap)。很明显,信号在蛇形走线上传输时,相互平行的线段之间会发生耦合,呈差模形式,Gap 越小,Ap 越大,则耦合程度也越大。 图10-60 蛇形走线的示例 尽管蛇形走线会引起引起耦合,从而降低信号质量,但是在布线时,蛇形走线对于调节时延和时序是重要的方法。因此在高速信号布线时,可以使用蛇形走线,但是蛇形走线的Ap 和Gap 的设置必须符合信号的要求。在PADS Router 中,可以设置蛇形走线的Ap 和Gap,并且在布线过程中添加蛇形布线。

2.设置蛇形走线的Ap 和Gap 参数 ●执行Tools/Options 菜单命令,或者单击标准工具栏中的Options 图标,系统会弹出选 项对话框,然后选择Routing(布线)选型卡。 ●然后在Routing to length constaints 区域设置蛇形走线参数,如图10-61 所示。 ㊣在Minimum amplitude (幅度)编辑框中将最小值设为20 ,则蛇形走线的幅度最小值 被设置为走线宽度的20 倍。 ㊣在minimum gap(间距)编辑框中将最小值设为2,则蛇形走线的间隔最小值被设置 为走线到拐角间距的2 倍。 ●最后单击OK 按钮完成设置。 注意:在使用蛇形走线时,应该注意以下几个技术要点: 1.尽量增加平行线段的距离S,至少大于3H,H 指信号走线到参考平面的距离。 通俗的说就是绕大弯走线,只要S 足够大,就几乎能完全避免相互之间的耦合效应。 2.减小耦合幅度Lp,当两倍的Lp 时延接近或超出信号上升时间时,产生的串绕将达到饱和。 3.带状线(Strip-Line)或者埋式微带线(Embedded Micro-strip)的蛇形走线引起的信号传输时延小于微带线走线(Micro-strip)。理论上,带状线不会因为差模串扰影响传输速率。 4.高速以及对时序要求较为严格的信号线,尽量不要走蛇形线,尤其不能在小范围内蜿蜒走线。 5.高速PCB 设计中,蛇形走线没有所谓滤波和抗干扰的能力,只可能降低信号质量,所以只作时序匹配之用而无其他目的。 3. 蛇形线的拓扑 对于DDR2和DDR3,其中信号DQ、DM和DQS都是点对点的互联方式,

蛇形线的走线方式

挖掘蛇形线的走线方式 蛇形线是Layout中经常使用的一类走线方式。其主要目的就是为了调节延时,满足系统时序设计要求。设计者首先要有这样的认识:蛇形线会破坏信号质量,改变传输延时,布线时要尽量避免使用。但实际设计中,为了保证信号有足够的保持时间,或者减小同组信号之间的时间偏移,往往不得不故意进行绕线。 那么,蛇形线对信号传输有什么影响呢?走线时要注意些什么呢?其中最关键的两个参数就是平行耦合长度(Lp)和耦合距离(S),如图1-8-21所示。很明显,信号在蛇形走线上传输时,相互平行的线段之间会发生耦合,呈差模形式,S越小,Lp越大,则耦合程度也越大。可能会导致传输延时减小,以及由于串扰而大大降低信号的质量,其机理可以参考第三章对共模和差模串扰的分析。 下面是给Layout工程师处理蛇形线时的几点建议: 1.尽量增加平行线段的距离(S),至少大于3H,H指信号走线到参考平面的距离。通俗的说就是绕大弯走线,只要S 足够大,就几乎能完全避免相互的耦合效应。 2.减小耦合长度Lp,当两倍的Lp延时接近或超过信号上升时间时,产生的串扰将达到饱和。 3.带状线(Strip-Line)或者埋式微带线(Embedded Micro-strip)的蛇形线引起的信号传输延时小于微带走线(Micro-strip)。理论上,带状线不会因为差模串扰影响传输速率。 4.高速以及对时序要求较为严格的信号线,尽量不要走蛇形线,尤其不能在小范围内蜿蜒走线。 5.可以经常采用任意角度的蛇形走线,如图1-8-20中的C结构,能有效的减少相互间的耦合。 6.高速PCB 设计中,蛇形线没有所谓滤波或抗干扰的能力,只可能降低信号质量,所以只作时序匹配之用而无其它目的。 7.有时可以考虑螺旋走线的方式进行绕线,仿真表明,其效果要优于正常的蛇形走线。

PCB设计中蛇形线要点

PCB设计中蛇形线要点 一、直角走线 直角走线一般是PCB布线中要求尽量避免的情况,也几乎成为衡量布线好坏的标准之一,那么直角走线究竟会对信号传输产生多大的影响呢?从原理上说,直角走线会使传输线的线宽发生变化,造成阻抗的不连续。其实不光是直角走线,顿角,锐角走线都可能会造成阻抗变化的情况。直角走线的对信号的影响就是主要体现在三个方面: 1.拐角可以等效为传输线上的容性负载,减缓上升时间; 2.阻抗不连续会造成信号的反射; 3.直角尖端产生的EMI。 传输线的直角带来的寄生电容可以由下面这个经验公式来计算: 在上式中,C就是指拐角的等效电容(单位:pF),W指走线的宽度(单位: 就是传输线的特征阻抗。举个例子,对于一inch),εr指介质的介电常数,Z 个4Mils的50欧姆传输线(εr为4.3)来说,一个直角带来的电容量大概为0.0101pF,进而可以估算由此引起的上升时间变化量: /2 = 2.2*0.0101*50/2 = 0.556ps =2.2*C*Z 通过计算可以看出,直角走线带来的电容效应是极其微小的。 由于直角走线的线宽增加,该处的阻抗将减小,于是会产生一定的信号反射现象,我们可以根据传输线章节中提到的阻抗计算公式来算出线宽增加后的等效阻抗,然后根据经验公式计算反射系数:ρ=(Zs-Z0)/(Zs+Z0),一般直角走线导致的阻抗变化在7%-20%之间,因而反射系数最大为0.1左右。而且,从下图可以看到,在W/2线长的时间内传输线阻抗变化到最小,再经过W/2时间又恢复到正常的阻抗,整个发生阻抗变化的时间极短,往往在10ps之内,这样快而且微小的变化对一般的信号传输来说几乎是可以忽略的。

AD如何走蛇形线

来源:https://www.doczj.com/doc/7e13084793.html,/thread-4906766-1-5.html 阿莫电子论坛 第一种方法:先布好线,再改蛇形。 从Tool 里选的Interactive length tuning ,就是要先布好线再改成蛇形, 但实际使用的时候,发现只有这2种蛇形线! (原文件名:111111111.jpg) 想要这种圆弧状的蛇形线,可是就是画不出来!

虽然Tools 下拉中的Interactive length tuning 有 (原文件名:33333333333.jpg) 但实际就是画不出这种形状 原因:左边那个是距离小了, 自动生成不了, 要么把圆弧的半径设小点右边那张也是如此, 另外设成圆弧。

(原文件名:33333333333.JPG) 找到原因了,是倒角半径太小。 调整走线长度的状态下,一直按住数字2.就可以调整成圆弧型。谢谢1L的大侠。 布线完成在走蛇形线可以实时显示长度

第二种方法:布线时直接走蛇形线。 布线时直接走蛇形: 先P->T 布线, 再Shift + A 切换成蛇形走线(ad 里叫Accordions ... 这是P->T 后按` 快捷键时显示的当前可用操作)

(原文件名:2011-07-25 10 25 03.png) 然后按Tab 设置属性, 类型了选用圆弧, Max Amplitude 设置最大的振幅 Gap 就是间隔(不知这么翻译对不) 下面左边是振幅增量, 右边是间隔增量, 后面有用

(原文件名:2011-07-25 10 18 53.png)然后开始布线

(整理)谈谈PCB布线中的蛇形走线

谈谈PCB布线中的蛇形走线 一般的蛇形线 一般的差分线 差分蛇形线 PCB上的任何一条走线在通过高频信号的情况下都会对该信号造成时延时,蛇形走线的主要作用是补偿“同一组相关”信号线中延时较小的部分,这些部分通常是没有或比其它信号少通过另外的逻辑处理;最典型的就是时钟线,通常它不需经过任何其它逻辑处理,因而其延时会小于其它相关信号。 高速数字PCB板的等线长是为了使各信号的延迟差保持在一个范围内,保证系统在同一周期内读取的数据的有效性(延迟差超过一个时钟周期时会错读下一周期的数据),一般要求延迟差不超过1/4时钟周期,单位长度的线延迟差也是固定的,延迟跟线宽,线长,铜厚,板层结构有关,但线过长会增大分布电容

和分布电感,使信号质量,所以时钟IC引脚一般都接RC端接,但蛇形走线并非起电感的作用,相反的,电感会使信号中的上升元中的高次谐波相移,造成信号 质量恶化,所以要求蛇形线间距最少是线宽的两倍,信号的上升时间越小就越 易受分布电容和分布电感的影响。 因为应用场合不同具不同的作用,如果蛇形走线在电脑板中出现,其主要起到一个滤波电感的作用,提高电路的抗干扰能力,电脑主机板中的蛇形走线, 主要用在一些时钟信号中,如PCIClk,AGPClk,它的作用有两点:1、阻抗 匹配2、滤波电感。对一些重要信号,如INTELHUB架构中的HUBLink,一 共13根,跑233MHz,要求必须严格等长,以消除时滞造成的隐患,绕线是唯一的解决办法。一般来讲,蛇形走线的线距>=2倍的线宽。PCI板上的蛇 行线就是为了适应PCI 33MHzClock 补充一: 有条件的朋友可以观察一下手边的主板。CPU插座-->北桥芯片、北桥-->AGP 插槽、频率发生器背面、内存DIMM槽附近,这些是集中使用蛇行线的地方。究其原因,还是这些都是工作在高频,并且还需要稳定的电流信号。 在PROTEL中一般先大致手工画好线,然后把要设置的所有线为一个CLASS,选Tools/Interactive Length Tuning,或者Tools/Equalize net lengths。 补充二: 减轻线与线的串扰最主要的就是增加线间距,而和绕蛇行无关,蛇行线反而 会带入导线自身的串扰问题,计算机主版个部分信号对时序要求非常严格, 所以必须对每种信号进行长度匹配,以满足足够的建立和保持时间,走蛇行 线仅仅是和时序设计相关,和高频信号完整性无关。我看过的国外多本信号

PCB板蛇形走线作用及绘制

沈阳单片机开发网——帮您精确掌握电子器件的使用细节 https://www.doczj.com/doc/7e13084793.html, PCB板蛇形走线的作用及绘制方法 PCB上的任何一条走线在通过高频信号的情况下都会对该信号造成时延时,蛇形走线的主要作用是补偿“同一组相关”信号线中延时较小的部分,这些部分通常是没有或比其它信号少通过另外的逻辑处理;最典型的就是时钟线,通常它不需经过任何其它逻辑处理,因而其延时会小于其它相关信号。 高速数字PCB板的等线长是为了使各信号的延迟差保持在一个范围内,保证系统在同一周期内读取的数据的有效性(延迟差超过一个时钟周期时会错读下一周期的数据),一般要求延迟差不超过1/4时钟周期,单位长度的线延迟差也是固定的,延迟跟线宽,线长,铜厚,板层结构有关,但线过长会增大分布电容和分布电感,使信号质量,所以时钟IC引脚一般都接RC 端接,但蛇形走线并非起电感的作用,相反的,电感会使信号中的上升元中的高次谐波相移,造成信号质量恶化,所以要求蛇形线间距最少是线宽的两倍,信号的上升时间越小就越易受分布电容和分布电感的影响。 因为应用场合不同具不同的作用,如果蛇形走线在电脑板中出现,其主要起到一个滤波电感的作用,提高电路的抗干扰能力,电脑主机板中的蛇形走线,主要用在一些时钟信号中,如PCIClk,AGPClk,它的作用有两点: 1、阻抗匹配 2、滤波电感。 对一些重要信号,如INTEL HUB架构中的HUBLink,一共13根,跑233MHz,要求必须严格等长,以消除时滞造成的隐患,绕线是唯一的解决办法。一般来讲,蛇形走线的线距>=2倍的线宽。PCI板上的蛇行线就是为了适应PCI 33MHzClock的线长要求。若在一般普通PCB板中,是一个分布参数的 LC 滤波器,还可作为收音机天线的电感线圈,短而窄的蛇形走线可做保险丝等等. 蛇形线的绘制方法: 1.尽量增加平行线段的距离(S),至少大于3H,H指信号走线到参考平面的距离。通俗的说就是绕大弯走线,只要S足够大,就几乎能完全避免相互的耦合效应。 2.减小耦合长度Lp,当两倍的Lp延时接近或超过信号上升时间时,产生的串扰将达到饱和。3.带状线(Strip-Line)或者埋式微带线(Embedded Micro-strip)的蛇形线引起的信号传输延时小于微带走线(Micro-strip)。理论上,带状线不会因为差模串扰影响传输速率。4.高速以及对时序要求较为严格的信号线,尽量不要走蛇形线,尤其不能在小范围内蜿蜒走线。 5.可以经常采用任意角度的蛇形走线,能有效的减少相互间的耦合。 6.高速PCB设计中,蛇形线没有所谓滤波或抗干扰的能力,只可能降低信号质量,所以只作时序匹配之用而无其它目的。 7.有时可以考虑螺旋走线的方式进行绕线,仿真表明,其效果要优于正常的蛇形走线。 8.用Reports →Measure Selected Objects菜单项测量蛇形线的长度。

详解“蛇形走线的作用“

详解“蛇形走线的作用“ 本人和同行讨论也参考了一些资料,蛇形走线作用大致如下:希望大家补 充纠正。 PCB 上的任何一条走线在通过高频信号的情况下都会对该信号造成时延时, 蛇形走线的主要作用是补偿同一组相关信号线中延时较小的部分,这些部分通 常是没有或比其它信号少通过另外的逻辑处理;最典型的就是时钟线,通常它不 需经过任何其它逻辑处理,因而其延时会小于其它相关信号。 高速数字PCB 板的等线长是为了使各信号的延迟差保持在一个范围内,保证 系统在同一周期内读取的数据的有效性(延迟差超过一个时钟周期时会错读下一 周期的数据),一般要求延迟差不超过1/4 时钟周期,单位长度的线延迟差也是固 定的,延迟跟线宽,线长,铜厚,板层结构有关,但线过长会增大分布电容和分布电感,使信号质量,所以时钟IC 引脚一般都接RC 端接,但蛇形走线并非起电感的作用,相 反的,电感会使信号中的上升元中的高次谐波相移,造成信号质量恶化, 所以要 求蛇形线间距最少是线宽的两倍,信号的上升时间越小就越易受分布电容和分布 电感的影响. 因为应用场合不同具不同的作用,如果蛇形走线在电脑板中出现,其主要起到 一个滤波电感的作用,提高电路的抗干扰能力,电脑主机板中的蛇形走线,主 要用在一些时钟信号中,如PCIClk,AGPClk,它的作用有两点:1、阻抗匹配 2、滤波电感。对一些重要信号,如INTEL HUB 架构中的HUBLink,一共13 根,跑233MHz,要求必须严格等长,以消除时滞造成的隐患,绕线是唯一的 解决办法。一般来讲,蛇形走线的线距=2 倍的线宽。PCI 板上的蛇行线就是为了适应PCI 33MHzClock 的线长要求。若在一般普通PCB 板中,是一个分布参数的LC 滤波器,还可作为收音机天线的电感线圈,短而窄的蛇形走线可

PADS蛇形走线技巧V0[1].2

PADS功能使用技巧V0.2 一、如何走蛇形线? 蛇形线是布线过程中常用的一种走线方式,其主要目的是为了调节延时满足系统时序设计要求,但是设计者应该有这样的认识:蛇形线会破坏信号质量,改变传输延时,布线时要尽量避免使用,因此一块PCB上的蛇形线越多并不意味着越“高级”。实际设计中,为了保证信号有足够的保持时间,或减小同组信号之间的时间偏移,往往不得不故意进行绕线,例如DDR*(DDR1/DDR2/DDR3)中的DQS与DQ信号组要求要严格等长以降低PCB skew,这时就要用到蛇形线。 (1)设置蛇形线的参数。蛇形线的参数主要有线长、同组线线长的差值、平行线段距离(Gap)及平行线段长度。Router中打开项目浏览器(Project Explorer),展开Net Objects树形列表下的Net项,选择需要等长的网络(此处是DDR_DQ[15..0]以及DDR_DQS[1..0]),右击选择Copy,如下图所示。 (2)点击Nets组上的Matched Length Nets Groups,右击后选择Paste将上述网络粘贴到该项内,如下图所示。

(3)此时在Matched Length Net Groups组内出现一个默认名为MLNetGroup1的网络组,展开就可以看到,拷贝的网络在这里出现,如下图所示。 (4)点击MLNetGroup1网络组,右击后选择Properties,弹出如下图所示的对话框。

其中Tolerance即网络组内最长与最短走线的之间的差值,PADS默认不对走线长度加以限制,若需要,可勾选Restrict length进行相应的设置,此两者的值可根据经验或仿真结果进行设置。 (5)点击工具栏上图标或按热键Ctrl+Enter,选中Options对话框中的Routing页表项, 其中红色框中的参数即针对蛇形线,这里我们把平行线段距离(Gap)设置为3,点击OK,即可完成蛇形线的设置。 (6)在PCB中选定一个引脚,按F3开始走线,在需要走蛇形线的地方停顿,右击后选择Add Accordion,即可开始蛇形走线,如下图所示。控制方面的细节不再赘述。

PCB 20——三种特殊走线技巧

PCB 20——三种特殊走线技巧 下面从直角走线、差分走线、蛇形线三个方面来阐述PCB LAYOUT的走线。 一、直角走线(三个方面) 直角走线的对信号的影响就是主要体现在三个方面:一是拐角可以等效为传输线上的容性负载,减缓上升时间;二是阻抗不连续会造成信号的反射;三是直角尖端产生的EMI,到10GHz以上的RF设计领域,这些小小的直角都可能成为高速问题的重点对象。 二、差分走线(“等长、等距、参考平面”) 何为差分信号(Differential Signal)?通俗地说就是驱动端发送两个等值、反相的信号,接收端通过比较这两个电压的差值来判断逻辑状态“0”还是“1”。而承载差分信号的那一对走线就称为差分走线。差分信号和普通的单端信号走线相比,最明显的优势体现在以下三方面: 1、抗干扰能力强,因为两根差分走线之间的耦合很好,当外界存在噪声干扰时,几乎是同时被耦合到两条线上,而接收端关心的只是两信号的差值,所以外界的共模噪声可被完全抵消。 2、能有效抑制EMI,同样的道理,由于两根信号的极性相反,他们对外辐射的电磁场可以相互抵消,耦合的越紧密,泄放到外界的电磁能量越少。 3、时序定位精确,由于差分信号的开关变化是位于两个信号的交点,而不像普通单端信号依靠高低两个阈值电压判断,因而受工艺,温度的影响小,能降低时序上的误差,同时也更适合于低幅度信号的电路。目前流行的LVDS(low voltage differential signaling)就是指这种小振幅差分信号技术。 三、蛇形线(调节延时) 蛇形线是Layout中经常使用的一类走线方式。其主要目的就是为了调节延时,满足系统时序设计要求。其中最关键的两个参数就是平行耦合长度(Lp)和耦合距离(S),很明显,信号在蛇形走线上传输时,相互平行的线段之间会发生耦合,呈差模形式,S越小,Lp越大,则耦合程度也越大。可能会导致传输延时减小,以及由于串扰而大大降低信号的质量,其机理可以参考对共模和差模串扰的分析。下面是给Layout工程师处理蛇形线时的几点建议: 1、尽量增加平行线段的距离(S),至少大于3H,H指信号走线到参考平面的距离。通俗的说就是绕大弯走线,只要S足够大,就几乎能完全避免相互的耦合效应。 2、减小耦合长度Lp,当两倍的Lp延时接近或超过信号上升时间时,产生的串扰将达到饱和。 3、带状线(Strip-Line)或者埋式微带线(EmbeddedMicro-strip)的蛇形线引起的信号传输延时小于微带走线(Micro-strip)。理论上,带状线不会因为差模串扰影响传输速率。 4、高速以及对时序要求较为严格的信号线,尽量不要走蛇形线,尤其不能在小范围内蜿蜒走线。 5、可以经常采用任意角度的蛇形走线,能有效的减少相互间的耦合。 6、高速PCB设计中,蛇形线没有所谓滤波或抗干扰的能力,只可能降低信号质量,所以只作时序匹配之用而无其它目的。 7、有时可以考虑螺旋走线的方式进行绕线,仿真表明,其效果要优于正常的蛇形走线。

PCB特殊走线的三种技巧分享

PCB特殊走线的三种技巧分享 在讲解PCB布线完成后的检查工作之前,先为大家介绍三种PCB的特殊走线技巧。将从直角走线,差分走线,蛇形线三个方面来阐述PCB LAYOUT的走线:一、直角走线(三个方面) 直角走线的对信号的影响就是主要体现在三个方面:一是拐角可以等效为传输线上的容性负载,减缓上升时间;二是阻抗不连续会造成信号的反射;三是直角尖端产生的EMI,到10GHz以上的RF设计领域,这些小小的直角都可能成为高速问题的重点对象。 二、差分走线(“等长、等距、参考平面”) 何为差分信号(Differential Signal)?通俗地说就是驱动端发送两个等值、反相的信号,接收端通过比较这两个电压的差值来判断逻辑状态“0”还是“1”。而承载差分信号的那一对走线就称为差分走线。差分信号和普通的单端信号走线相比,最明显的优势体现在以下三方面: 1)抗干扰能力强,因为两根差分走线之间的耦合很好,当外界存在噪声干扰时,几乎是同时被耦合到两条线上,而接收端关心的只是两信号的差值,所以外界的共模噪声可被完全抵消。 2)能有效抑制EMI,同样的道理,由于两根信号的极性相反,他们对外辐射的电磁场可以相互抵消,耦合的越紧密,泄放到外界的电磁能量越少。 3)时序定位精确,由于差分信号的开关变化是位于两个信号的交点,而不像普通单端信号依靠高低两个阈值电压判断,因而受工艺,温度的影响小,能降低时序上的误差,同时也更适合于低幅度信号的电路。目前流行的LVDS(low voltage differenTIal signaling)就是指这种小振幅差分信号技术。 三、蛇形线(调节延时) 蛇形线是Layout中经常使用的一类走线方式。其主要目的就是为了调节延时,满足系统时序设计要求。其中最关键的两个参数就是平行耦合长度(Lp)和耦合距离(S),很明显,信号在蛇形走线上传输时,相互平行的线段之间会发生耦合,呈差模形式,S越小,Lp

Altium Designer 蛇形等长布线和如何查看NET总长度

Altium Designer 蛇形等长布线和如何查看NET总长度Altium Designer 里面怎么画等长线: (1)一般是将走线布完后,新建一个class。Design -> Classes 如上图添加完后可以点击close。 (2)快捷键T + R;或者点击Tools 下拉中的Interactive length tuning 。点击class中的一条net,然后tab键设置属性。

一般选最长的net线做参考。如上图TDR5。依次设置蛇形走线规则。(3)T+R点击class里面的net逐次调整为蛇形等长线。如下图

等长线走线完毕,以上例子紧为参考。 (1)布线时同时按下Ctrl +Shift 并且转动鼠标的滚轮,就可以换层。 (亦可用小键盘“*”号键来换层)。 (2)布线时按“shift + 空格”来改变线的拐角方式。按“shift + A”画蛇形线。 (3)布蛇行线的快捷键控制: 在布蛇行线时,按快捷键“ 1 ”“ 2 ”“ 3 ”“ 4 ”“,”“。”可以在走线时随时控制蛇行线的形状。 快捷键: 1 与2 ,改变蛇行线的拐角与弧度。 快捷键: 3 与4 改变蛇行线的宽度。 快捷键:,与。改变蛇行线的幅度。 一般来讲,蛇形走线的线距>=2倍的线宽。 Altium designer如何查看一个net总长度: 方法一:布完线后,按R ,L 输出报告,查看网络是否是等长的。 方法二:1、在软件界面的右下角有一个“pcb”按钮,点击此按钮会弹出下拉菜单,选择PCB, 此时会弹出“PCB”面板。 2、在弹出的面板中,考上放有一个下拉菜单,选择“NETS"。 3、选择需要查看net既可。 方法三:直接shift+H在PCB设计区的左上角就会显示/关闭一些参数,也包括一个net的总长度,查看的时候只需 要将鼠标移到要看的元器件上或net就可以了

相关主题
相关文档 最新文档