当前位置:文档之家› 课程设计-集成电路设计与EDA应用

课程设计-集成电路设计与EDA应用

课程设计-集成电路设计与EDA应用
课程设计-集成电路设计与EDA应用

中山大学南方学院

电子通信与软件工程系

集成电路设计与EDA 应用

课程设计指导

设计目的

通过具体的电路熟悉并实践CMOS VLSI 版图的设计流程。

设计背景

用0.5um/5V (5V 的器件最小器件的栅极长度L 为0.5um )的CMOS 工艺实现以下的逻辑表达式。电源电压为2.5V ,输出端要求驱动一个0.3pF 的负载电容。

设计内容

一. 组合逻辑门-静态互补CMOS

组合逻辑门或非再生电路(或时序电路)在任意时刻,输入和输出之间服从一个特定的布尔表达式。静态互补CMOS 是使用最广泛的逻辑类型。它是由上拉网络和下拉网络组合而成,实际上就是静态CMOS 反相器扩展为N 个输入。

静态CMOS 门是上拉网络PUN 和下拉网络PDN 的组合,如图所示。N 个输入的逻辑门,它的所有输入都同时分配到上拉和下拉网络,PUN 的作用是每当逻辑门的输出逻辑“1”时(取决于输入),它将提供一条在输出和VDD 之间的通路,同样,PDN 的作用是当逻辑门的输出逻辑“0”时,把输出连至GND 。PUN 和PDN 网络是以相互排斥的方式构成的,即在稳定时,两个网络中有且只有一个导通,这样一旦瞬态过程完成,总有一条路径存在于VDD 和输出端之间(即输出“1”),或存在于GND 和输出端之间(即输出“0”)。这就是说在稳定状态时,输出节点总是一个低阻节点。

根据De Morgan 定理,A +B ???????=A ??B ?,和A ?B

??????=A ?+B ?,可以看出一个互补CMOS 结构的上拉网络和下拉网络互为对偶网络。这意味着在上拉网络中并联的传输管

相应于在下拉网络对应器件的串联,反之亦然。因此为了构成一个CMOS门,可以用串、并联器件的组合来实现其中一个网络,如PDN,而另一个网络,如PUN,可以通过对偶原理来实现。

参考题目

以下给定不同的已知条件,或是布尔运算,或是真值表,完成设计内容。

1.已知布尔运算OUT=(A+B)?C?D

a)由布尔运算写出CMOS组成的互补电路(注意,CMOS互补电路只能得到反

相的布尔函数)。

b)确定所有器件的尺寸比。要求根据以下的表格,使得每一条通路到输出端的

电阻都是30KΩ。(注意,任一器件组合,并联或是串联,只要使得VDD/GND 到输出OUT之间导通都可以算作一条通路。因此应有不止一条通路。)

表1.PMOS和NMOS的等效电路Req(W/L=1)

L=0.25um|V GS|=V DD,|V GS|=V DD→V DD/2

c)仿真出在输入为以下状态时的输出延迟:

A=B=C=1,D=1→0翻转时候的输出延迟;

D=C=1,A=B=1→0反转时候的输出延迟;

A=C=1,B=0,D=0→1翻转时候的输出延迟;

A=D=1,B=0,C=0→1翻转时候的输出延迟;

2.已知以下的电路图

a)由已知的CMOS组成的互补电路写出布尔运算方程。

b)确定所有器件的尺寸比。要求根据以下的表格,使得每一条通路到输出端的

电阻都是30KΩ。(注意,任意器件组合,并联或是串联,只要使得VDD/GND 到输出OUT之间导通都可以算作一条通路。因此应有不止一条通路)

表1.PMOS和NMOS的等效电路Req(W/L=1)

L=0.25um,|V GS|=V DD,|V GS|=V DD→V DD/2

c)考虑输入为:ABCDE=11111→00011的状况。其中C比A较早开始翻转,B又

比C较早开始翻转。已知ABC输入的位置可以决定延迟的时间长短。现试改变ABC的位置(E、D不变),分析和仿真出ABC位置在不同排列状况下的输出延迟。并最终实现出延迟最小的ABC排列方式下的电路图和版图。

3.已知输入逻辑为

a)由已知的逻辑写出布尔运算表达式和CMOS互补逻辑电路。

b)确定所有器件的尺寸比。要求根据以下的表格,使得每一条通路到输出端的

电阻都是30KΩ。(注意,任意器件组合,并联或是串联,只要使得VDD/GND 到输出OUT之间导通都可以算作一条通路。因此应有不止一条通路)

表1.PMOS和NMOS的等效电路Req(W/L=1)

L=0.25um,|V GS|=V DD,|V GS|=V DD→V DD/2

c)考虑如果E信号最迟翻转,那么此时的电路图应如何连接。仿真出你所连接

的电路图的输出延迟并实现其版图。

b)确定所有器件的尺寸比。要求根据以下的表格,使得每一条通路到输出端的

电阻都是30KΩ。(注意,任意器件组合,并联或是串联,只要使得VDD/GND 到输出OUT之间导通都可以算作一条通路。因此应有不止一条通路)

表1.PMOS和NMOS的等效电路Req(W/L=1)

L=0.25um,|V GS|=V DD,|V GS|=V DD→V DD/2

c)根据你得到的互补电路,仿真出以下传输延迟:

当输出由H-L翻转时,ABCDE=01011→11111,即AC翻转较迟。问A比C早开始翻转的延迟严重还是C比A早开始翻转延迟严重?各自的延迟是多少。

当输出由L-H翻转时,ABCDE=00001→00000,即E翻转较迟。问E信号比ABCD早开始翻转的延迟严重还是晚翻转的延迟严重?各自的延迟是多少?

5.已知上拉网络的逻辑电路为

a)由已知的条件写出布尔运算表达式和CMOS互补逻辑电路。

b)确定所有器件的尺寸比。要求根据以下的表格,使得每一条通路到输出端的

电阻都是30KΩ。(注意,任意器件组合,并联或是串联,只要使得VDD/GND 到输出OUT之间导通都可以算作一条通路。因此应有不止一条通路)

表1.PMOS和NMOS的等效电路Req(W/L=1)

L=0.25um,|V GS|=V DD,|V GS|=V DD→V DD/2

c)现在已知在输出L-H的过程中,若P1和P2为D和E信号,ABCDE=00011→00000,

或ABCDE=11100→00000,即ABC和DE翻转的先后顺序不同,请问这两种情况下的输出延迟是多少?若DE信号后翻转,请确定ABCDE所对应的传输管位置,画出电路图和版图。

设计要求

1.电路设计:从以上5个题目中任选一个,根据给定的要求完成电路。输入信

号要被命名为A、B、C、D、E,输出信号要被命名为OUT。公共端为Vdd和GND。(注意:严格遵守命名规则,不要随意更改)

2.功能仿真:连接完成后,首先要对电路图进行功能仿真,使得电路满足给定

条件的布尔运算,或是真值表,或是电路图。

当功能仿真满足之后,再仿真出题目要求的传输延迟Delay。

3.版图绘制:自行设计版图以达到题目所给定的要求。设计布局,优化面积,

减小寄生电容,使得传输延迟尽可能小。所有信号都要明确标注在版图中(包括Vdd和GND)。

二.全加器

1bit全加器是数字运算中重要的基本单元,在数字多媒体和数字通信中起到非常重要的作用。在硬件实现的过程中,随着VLSI技术的发展,需要不断提高全加器的速度,减小功耗。

全加器的基本运算逻辑包括3bit的输入(输入A,输入B,上级进位Cin)和2bit 的输出(本级输出Sum,本级进位Cout)。其布尔运算可表达为

=(A B) C (1)

C =A?B+C (A B)(2) 根据其基本的布尔函数(1)和(2),可以设计出传输延迟,功耗,噪声容限等等技能大不一样的全加器。以下介绍几种新型的全加器结构,其使用了较少的传输管,充分提高了传输速率,减少延迟。

参考题目

1.全加器1—CLTCL全加器

图1-1 CLRCL全加器

进位产生进位传输

图1-2 CLRCL全加器延迟传输最长的传输路径

2.全加器2—SERF全加器

图2-1 SERF全加器

进位产生进位传输

图2-2 SERF全加器延迟传输最长的传输路径

3.全加器3—9A全加器

图3-1 9A全加器

进位产生进位传输

图3-2 9A全加器延迟传输最长的传输路径

4.全加器4—9B全加器

图4-1 9B全加器

进位产生进位传输

图4-2 9B全加器延迟传输最长的传输路径

5.全加器5—13A全加器

图5-1 13A全加器

进位产生进位传输

图5-2 13A全加器延迟传输最长的传输路径

以上五种全加器在实现基本功能的基础上,对于功耗,传输延迟,噪声容限都有各自不同的表现。全加器的延迟是由延迟最严重的路径决定的。上图同样显示出了每一种全加器的延迟传输最长的路径。也就是说,上述路径决定了全加器的传输延迟。

设计要求

1.电路设计:从以上5个全加器中任选一个,自行设计传输管的尺寸,完成电

路图的连接。尺寸的选择要保证上拉网络和下拉网络传输延迟相均等的前期下,要尽可能减小电路版图的面积,减少传输延迟。输入信号要被命名为A、

B、Cin,输出信号要被命名为Sum、Cout。公共端为Vdd和GND。(注意:严

格遵守命名规则,不要随意更改)

2.功能仿真:连接完成后,首先要对电路图进行功能仿真,使得电路满足全加

器的功能,即满足布尔运算(1)和(2)。用真值表(表一)的形式表达出,当A、B和Cin为0和1的时候,Sum和Cout的输出结果(注意是否为强“1”

和强“0”)。

当功能仿真满足之后,输出根据上图所展示的最长传输路径,仿真出全加器电路图的传输延迟D(max)。明确指示出此时的输入信号,输出信号,传输延

3.版图绘制:自行设计版图的布局,优化面积,减小寄生电容,使得传输延迟

尽可能小。所有信号都要明确标注在版图中(包括Vdd和GND)。

评判标准

本设计占总课程得分的50%。其中,

设计报告30%:设计完成并满足电路和版图的基本功能将根据完成度得到0~10%的得分;版图的性能(面积和延迟)将根据优劣得到0~10%的得分;设计报告将根据质量(设计是否合理和时候按时上交)得到0~10%的得分。设计报告每个小组上交一份,详述设计过程、设计与仿真结果、本人在设计中所完成的工作量。设计展示20%:版图布局和布线说明,DRC和LVS结果展示,仿真演示,问题回答。设计展示无需准备ppt,需小组成员现场展示设计成果。

设计小组

课程设计以小组为单位,每一小组的人数不可超过4人。在11月4号之前,请将小组成员的名单(名字、学号),已经选定的设计题目上交与课程助理。10月4号之后将不可以再改变。

日期安排

1.设计报告请于截止日期前上交一份电子版与纸质版的,电子版请在截止日期

前上传至对应的ftp文件夹,截止日期为18周的星期一半夜12点,即12月29号24:00。之后上交的报告将有相应的扣分。纸质版请于展示时上交。2.设计展示将于18周上课时间进行。要求做展示的小组每一位同学都要出席,

各小组成员分别展示出自己在设计中所完成的部分。

EDA课程设计---流水灯设计

EDA课程设计流水灯设计

目录 一、摘要··3 二、流水灯设计目的··4 三、流水灯设计流程··4 四、流水灯设计程序··5 五、流水灯设计管脚分配··7 六、功能仿真图··8 七、原理图波形图··9 八、设计注意事项··10

九、课程设计总结··11 十、参考文献··12 十一、评分表··13 一、摘要 随着EDA技术发展和应用领域的扩大与深入,EDA技术在电子信息、通讯、

自动控制及计算机应用等领域的重要性突出。随着技术市场与人才市场对EDA 的需求不断提高,产品的市场需求和技术市场的要求也必然会反映到教学领域和科研领域中来。因此学好EDA技术对我们有很大的益处。EDA是指以计算机为工具,在EDA软件平台上,根据设计社描述的源文件(原理图文件、硬件描述语言文件或波形图文件),自动完成系统的设计,包括编译、仿真、优化、综合、适配(或布局布线)以及下载。 流水灯是一串按一定的规律像流水一样连续闪亮,流水灯控制是可编程控制器的一个应用,其控制思想在工业控制技术领域也同样适用。流水灯控制可用多种方法实现,但对现代可编程控制器而言,基于EDA技术的流水灯设计也是很普遍的。 课程设计主要的目的是通过某一电路的综合设计,了解一般电路综合设计过程、设计要求、应完成的工作内容和具体的设计方法、通过设计也有助于复习、巩固以往的学习内容、达到灵活应用的目的。在设计完成后,还要将设计的电路进行安装、调试以加强学生的动手能力。在此过程中培养从事设计工作的整体观念。 课程设计应强调以能力培养为主,在独立完成设计及制作任务同时注意多方面能力的培养与提高,主要包括以下方面: ·独立工作能力和创造力。 ·综合运用专业及基础知识,解决实际工程技术问题的能力。 ·查阅图书资料、产品手册和各种工具书的能力。 ·写技术报告和编制技术资料的能力。 ·实际动手能力。

江苏大学单片机课程设计

单片机课程实验报告 班级J计算机1302 学号4131110037 姓名杨岚 指导老师余景华 2016.07.09

一、多功能数字钟的设计要求: 1.能在LED显示器上实现正常的时分秒计时 2.能通过键盘输入当前时间,并从该时间开始计时 3.有校时、校分功能 4.有报时功能,通过指示灯表示 5.有闹时功能,闹时时间可以设定,通过指示灯表示 二、课程设计电路图: 图1 设计电路图 ?HD7279A的片选引脚CS连在P5.7; ·通过C8051F020的P1.6、P1.7连接7279A的CLK和DATA实现串行数据编程; ?KEY连在比较器1的同相输入端CP1P

三、设计思路: 根据课程设计要求,我们要设计一个多功能数字电子时钟,随着人类科技文明的发展,高精度、多功能、小体积、低功耗,是现代时钟发展的趋势。在这种趋势下,时钟的数字化、多功能化已经成为现代时钟生产研究的主导设计方向。本实验正是基于这种设计方向,以单片机(C8051F020)为控制核心,设计制作一个多功能的数字时钟。在这些当中,必须要求要有时钟功能、校时校分功能、整点报时和闹钟功能等。 1.1首先要实现数码管的正常时分秒计时必须初始化系统时钟,初始化I/O端口以及定时器和使能比较器等,为系统的运行做必要的准备。 1.2其次通过键盘输入当前时间并从当前时间开始计时,这个过程中搞清楚,通过键盘输入的数据送到了哪里,是通过什么样的方式送进去的,同时对时间的计时有一个严密的算法来控制。 1.3在时间通过键盘输入并正常显示后,可以通过按键来进行校时、校分的功能。并显示出校正后的时间 1.4通过按键实现闹钟功能,在设置闹钟的同时,原时间能确保正确行走。 7279指令说明:88H,闪烁控制指令,d1-d8对应8个数码管,0表示闪烁,1表示不闪烁。 开始 输入按键(ABCD) 输入按键(F) 当达到整点时, A (校时的高位) F(输入闹钟)数码管闪烁3秒 B(校时的低位)当当前时间与闹钟时间相等时, C(校分的高位)数码管闪烁2秒。 D(校分的低位) 图2 功能模块图 ?输入按键A使得时钟的时高位加1,若时高位值超过2则返回0值。 ?输入按键B使得时钟的时低位加1,若时低位值超过9则返回0值同时时高位加1,最后判断时高位>1且时低位>3则时高位=0,时低位0。 ?输入按键C使得时钟的分高位加1,若分高位值超过5,则时低位加1,分高位至0。 ?输入按键D使得时钟的分低位加1,若分低位值超过9,则分高位加1,分低位至0。 ?输入按键E使得时钟的秒高位加1,若秒高位值超过5,则分低位加1,秒高位至0。 ?按键F进入闹钟模块。

eda课程设计报告多功能数字钟设计大学论文

湖北大学物电学院EDA课程设计报告(论文) 题目:多功能数字钟设计 专业班级: 14微电子科学与工程 姓名:黄山 时间:2016年12月20日 指导教师:万美琳卢仕 完成日期:2015年12月20日

多功能数字钟设计任务书 1.设计目的与要求 了解多功能数字钟的工作原理,加深利用EDA技术实现数字系统的理解 2.设计内容 1,能正常走时,时分秒各占2个数码管,时分秒之间用小时个位和分钟个位所在数码管的小数点隔开; 2,能用按键调时调分; 3,能整点报时,到达整点时,蜂鸣器响一秒; 4,拓展功能:秒表,闹钟,闹钟可调 3.编写设计报告 写出设计的全过程,附上有关资料和图纸,有心得体会。 4.答辩 在规定时间内,完成叙述并回答问题。

目录(四号仿宋_GB2312加粗居中) (空一行) 1 引言 (1) 2 总体设计方案 (1) 2.1 设计思路 (1) 2.2总体设计框图 (2) 3设计原理分析 (3) 3.1分频器 (4) 3.2计时器和时间调节 (4) 3.3秒表模块 (5) 3.4状态机模块 (6) 3.5数码管显示模块 (7) 3.6顶层模块 (8) 3.7管脚绑定和顶层原理图 (9) 4 总结与体会 (11)

多功能电子表 摘要:本EDA课程主要利用QuartusII软件Verilog语言的基本运用设计一个多功能数字钟,进行试验设计和软件仿真调试,分别实现时分秒计时,闹钟闹铃,时分手动较时,时分秒清零,时间保持和整点报时等多种基本功能 关键词:Verilog语言,多功能数字钟,数码管显示; 1 引言 QuartusII是Altera公司的综合性PLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL 以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程,解决了传统硬件电路连线麻烦,出错率高且不易修改,很难控制成本的缺点。利用软件电路设计连线方便,修改容易;电路结构清楚,功能一目了然 2 总体设计方案 2.1 设计思路 根据系统设计的要求,系统设计采用自顶层向下的设计方法,由时钟分频部分,计时部分,按键调时部分,数码管显示部分,蜂鸣器四部分组成。这些模块在顶层原理图中相互连接作用 3 设计原理分析 3.1 分频器 分频模块:将20Mhz晶振分频为1hz,100hz,1000hz分别用于计数模块,秒表模块,状态机模块 module oclk(CLK,oclk,rst,clk_10,clk_100); input CLK,rst; output oclk,clk_10,clk_100;

PLC课程设计题目

可编程控制器原理及应用课程设计题目 1. 设计抢答器PLC控制系统。控制要求: 1)抢答台A、B、C、D,有指示灯,抢答键。 2)裁判员台,指示灯,复位按键。 3)抢答时,有2S声音报警。 4)用数码管显示抢中的组号。 2设计两台电动机顺序控制PLC系统。 控制要求:两台电动机相互协调运转,M1运转10S,停止5S,M2要求与M1相反,M1停止M2运行,M1运行M2停止,如此反复动作3次,M1和M2均停止。 3.设计交通红绿灯PLC控制系统。控制要求: 1)东西向:绿5S,绿闪3次,黄2S;红10S。 2)南北向:红10S,绿5S,绿闪3次,黄2S。 4设计彩灯顺序控制系统。控制要求: 1)A亮1S,灭1S;B亮1S,灭1S; 2)C亮1S,灭1S;D亮1S,灭1S。 3)A、B、C、D亮1S,灭1S。 4)循环三次。 5.用PLC对自动售汽水机进行控制,工作要求: 1)此售货机可投入1元、2元硬币,投币口为LS1,LS2; 2)当投入的硬币总值大于等于6元时,汽水指示灯L1亮,此时按下汽水按钮SB,则汽水口L2出汽水12秒后自动停止。 3)不找钱,不结余,下一位投币又重新开始。 6.设计电镀生产线PLC控制系统.控制要求: 1)SQ1—SQ4为行车进退限位开关,SQ5—SQ6为上下限为开关。 2)工件提升至SQ5停,行车进至SQ1停,放下工件至SQ6,电镀10S,工件升至SQ5停,滴液5S,行车退至SQ2停,放下工件至SQ6,定时6S,工件升至SQ5停,滴液5S,行车退至SQ3停,放下工件至SQ6,定时6S,工件升至SQ5停,滴液5S,行车退至SQ4停,放下工件至SQ6。 3)完成一次循环。 7.皮带运输机传输系统 有一3台皮带运输机传输系统,分别用电动机M1、M2、M3带动,控制要求如下:按下起动按钮,先起动最末一台皮带机M3,经5S后再依次起动其它皮带机。正常运行时,M3、M2、M1均工作。按下停止按钮时,先停止最前一台皮带机M1,待料送完毕后再依次停止其它皮带机。

EDA课程设计报告

湖北职业技术学院《EDA技术》课程设计报告 题目动态输出4位十进制频率计的设计所在学院电子信息工程学院 专业班级电信08304 学生姓名马强 学号08024839 指导教师王芳 完成日期2010年11月18 日

目录 一、概述 (3) 二、设计正文 (4) (一)设计目的 (4) (二)设计实现 (4) 1、端口说明 (4) 2、Cnt10模块说明 (5) 3、Tctl模块说明 (6) 4、锁存器reg16模块说明 (8) 5、Scan_led模块说明 (9) 6、顶层文件仿真 (10) 7、硬件下载 (11) 三、总结 (13) 四、感言 (14) 五、参考文献 (15)

概述 此次设计的主要目的是学习掌握频率计的设计方法;掌握动态扫描输出电路的实现方法;学习较复杂的数字系统设计方法。通过单位时间(1秒)内频率的计数来实现频率计的设计。此设计主要用四位十进制计数器,所以频率计数范围为100~9999Hz。然后锁存防止闪烁显示,最后由译码扫描显示电路输出到数码管进行显示输出。并且下载后会有一秒钟的延时后才会显示输出所计频率输出。设计下载后能够进行仿真频率的计数和静态显示,但是分频的设计程序有所缺陷导致长时间显示后会有1Hz的抖动。通过这次的设计能够更清楚的理解VHDL程序的描述语言,进行简单程序的编写和仿真。

动态输出4位十进制频率计的设计 一、目的 1.学习掌握频率计的设计方法。 2.掌握动态扫描输出电路的实现方法。 3.学习较复杂的数字系统设计方法 二、设计实现 4位十进制频率计外部接口如图1所示,顶层文件如图2所示,包含4中模块;Tctl、reg16、scan_led和4个cnt10。 (1)端口说明 F1Hz:给Tctl模块提供1Hz的频率输入。 Fin:被测频率输入。 scan_led:给scan_led模块提供扫描输入频率输入。 bt[1..0]:片选信号输出。 sg[6..0]:译码信号输出。 cout:进位输出。

EDA技术课程设计报告

课程设计任务书设计题目1:数码管显示数字钟设计

院(系)分管领导:教研室主任:指导教师: 2017年6月23日

目录 第1章引言 0 第2章电路原理 0 第3章程序设计 (1) 顶层模块设计 (2) 时钟分频模块设计 (2) 按键驱动模块设计 (2) 时钟计数模块设计 (3) 整点报时模块 (4) LED灯花样显示模块 (5) 数码管显示模块设计 (5) 第4章调试、测试分析及结果 (7)

调试 (7) 测试分析 (7) 结果 (9) 第5章小结 (10) 参考文献 (11) 附录电路图及程序 (11)

第1章引言 EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪60年代中期从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。 EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VHDL 或者Verilog HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。 Verilog HDL是一种硬件描述语言,以文本形式来描述数字系统硬件的结构和行为的语言,用它可以表示逻辑电路图、逻辑表达式,还可以表示数字逻辑系统所完成的逻辑功能。 此次课程设计我们运用QuartusⅡ这个软件,使用Verilog HDL语言进行编程。 数字钟是一种用数字电路技术实现时、分、秒计时的钟表。与机械钟相比具有更高的准确性和直观性,具有更长的使用寿命,已得到广泛的使用。数字钟的设计方法有许多种,例如可用中小规模集成电路组成电子钟,也可以利用专用的电子钟芯片配以显示电路及其所需要的外围电路组成电子钟,还可以利用单片机来实现电子钟等等。这些方法都各有其特点,其中利用单片机实现的电子钟具有编程灵活,以便于功能的扩展。 本次课程设计要求利用EDA技术,设计一个数码管显示数字钟的样品,数字钟要求具备以下功能: 1、具有时、分、秒,计数显示功能,以24小时循环计时; 2、具有清零,调节小时、分钟功能; 3、具有整点报时功能,整点报时的同时LED花样显示。 第2章电路原理 数码管显示数字钟设计,运用到8位数码管,要求其中6位数码管动态显示,分别显示时、分、秒的计数,同时对时间进行设置,数字钟的总体功能按照要求可以分为基本的数字时钟显示(具有时、分、秒,计数显示功能,以24小时循环计时)、手动校准(具有清零,调节小时、分钟功能)、整点报时、LED灯花样显示

课程设计报告MATLAB江苏大学

江苏大学 《电气工程工具软件培训》课程设计报告 设计题目:MATLAB工具软件 专业班级:电气1201 学生姓名:蔡婷 学生学号:3120501004 指导老师:黄永红 完成日期:2013.7.3 江苏大学·电气信息工程学院 (a组指导老师:黄永红; b组指导老师: 刘辉;c组指导老师:王博)

一MATLAB课程设计的目的和要求 1.MA TLAB软件功能简介 MA TLAB的名称源自Matrix Laboratory,1984年由美国Mathworks公司推向市场。它是一种科学计算软件,专门以矩阵的形式处理数据。MA TLAB将高性能的数值计算和可视化集成在一起,并提供了大量的内置函数,从而被广泛的应用于科学计算、控制系统和信息处理等领域的分析、仿真和设计工作。MA TLAB软件包括五大通用功能,数值计算功能(Nemeric)、符号运算功能(Symbolic)、数据可视化功能(Graphic)、数字图形文字统一处理功能(Notebook)和建模仿真可视化功能(Simulink)。其中,符号运算功能的实现是通过请求MAPLE内核计算并将结果返回到MA TLAB命令窗口。该软件有三大特点,一是功能强大;二是界面友善、语言自然;三是开放性强。目前,Mathworks公司已推出30多个应用工具箱。MA TLAB 在线性代数、矩阵分析、数值及优化、数值统计和随机信号分析、电路与系统、系统动力学、次那好和图像处理、控制理论分析和系统设计、过程控制、建模和仿真、通信系统以及财政金融等众多领域的理论研究和工程设计中得到了广泛应用。 2.MA TLAB课程设计的目的 本次课程设计主要是为了使学生了解MA TLAB软件的基本知识,熟悉MA TLAB的上机环境,掌握MA TLAB数值运算、程序设计、二维/三维绘图、符号运算、Simulink仿真等相关知识,并初步

EDA课程设计报告资料

课程设计 设计题目: 学生姓名: 学号: 专业班级: 指导教师: 2015年月日

设计 题目成绩 课 程 设 计 主 要 内 容 指 导 教 师 评 语 签名:20 年月日

设计题目:测量放大器电路原理图和PCB板设计 一、实验目的 1.了解学习Protel 99SE的目的与意义; 2.掌握Protel 99SE绘制电路原理图方法与技巧; 3.掌握PCB设计方法与技巧。 二、实验要求 1.利用Protel 99SE绘制一张电路图; 2.对绘制好的电路图进行ERC检查; 3.生成网络表; 4.生成元件列表; 5.利用Protel 99SE完成对应的双面印刷电路板设计。 三、功率放大器设计 实验原理图如下图所示: 图1

四、protel制图 4.1设计电路原理图 1.电路原理图 电路原理图的设计是整个电路设计的基础,因此电路原理图要设计好,以免影响后面的设计工作。电路原理图的设计一般有如下步骤: (1)设置原理图设计环境; (2)放置元件; (3)原理图布线; (4)编辑和调整; (5)检查原理图; (6)生成网络表。 2.设计印刷电路板 印刷电路板设计是从电路原理图变成一个具体产品的必经之路,因此,印刷电路板设计是电路设计中最重要、最关键的一步。通常,印刷电路板设计的具体步骤如下: (1)规划电路板; (2)设置参数; (3)装入网络表; (4)元器件布局; (5)自动布线; (6)手工调整。 4.2 绘制测量放大器电路原理图 原理图设计最基本的要求是正确性,其次是布局合理,最后是在正确性和布局合理的前提下力求美观。根据以上所述的电路原理图设计步骤,两级放大器电路原理图设计过程如下: 1.启动原理图设计服务器 进入Protel 99 SE,创建一个数据库,执行菜单File/New命令,从框中选择原理图服务器(Schematic Document)图标,双击该图标,建立原理图设计文档。双击文档图标,进入原理图设计服务器界面。如图2

plc课程设计

电气控制与PLC 课程设计 题目: 七段码数字钟设计 院系名称:电气工程学院 专业班级: 学生姓名: 学号: 指导教师: 成绩: 指导老师签名: 日期:

目录 1 系统描述即设计要求 (1) 1.1 七段码数字钟设计的目的 (1) 1.2 七段码数字钟设计的设计内容 (1) 1.3 七段码数字钟设计的实现目标 (2) 2 系统方案的论证 (2) 2.1 方案一设计分析 (2) 2.2 方案二设计分析 (3) 2.3 方案三设计分析 (4) 3 系统硬件的设计 (4) 3.1 七段数码管显示原理及共阴极连接 (4) 3.2 I/O分配 (6) 3.3 I/O接线图 (6) 3.4 元器件选型 (7) 3.4.1 PLC选型 (7) 3.4.2 FX2N系列PLC介绍 (7) 3.5 主要元器件的选择 (7) 4 系统软件的设计 (8) 4.1 主流程图 (8) 4.2 梯形图 (9) 5 系统的调试 (16)

5.1 调试前了解PLC实验室操作规程 (16) 5.2 程序调试步骤 (16) 设计心得 (17) 参考文献 (18) 附录: (19)

1 系统描述即设计要求 1.1 七段码数字钟设计的目的 时间对于每个人来说都很重要,可以这样说,数字钟已成为人们日常生活中必不可少的必需品,广泛用于个人家庭以及车站、公司、剧场、办公室等公共场所,给人们的生活、学习、工作、娱乐带来极大的方便。而且由于数字集成电路技术的发展和采用了先进的石英技术,使数字钟具有走时准确、性能稳定、携带方便等优点,它还广泛的用于计时、自动报时及自动控制等各个领域。 除此之外,PLC课程设计也是培养和锻炼我们学完本门课程之后综合应用所学理论知识解决实际生活和生产应用问题的能力,课程设计也是教学过程中的重要环节,它要求学生要认真思考,开发学生的创新能力,从而给学生提供更多自由发挥的空间,而且,在将理论结合实际应用于生活的过程中,我们也许会找到许多种方案,然后我们会结合要解决的问题及所用元件等综合因素考虑,最终选择最优的方案。在这个思考的过程中,锻炼的不仅仅是学生的解决实际问题的能力,更重要的是教会我们可编程控制器应用方面的开发和设计本领,系统的掌握解决实际问题的设计方法。 1.2 七段码数字钟设计的设计内容 电子钟显示的内容通常有月、日、星期、时、分、秒等。本系统只显示时、分、秒,采用七段显示器显示各位数字,显示数值的范围如表1 所示。表格括号中的数字表示显示的数字范围。 表1 电子钟显示内容与数值

EDA课程设计

课程设计说明书 课程:EDA技术基础 题目:数字钟的设计 闹钟与整点报时模块 学生姓名:XXX 学号201265110204 班级 :1203班 专业:电子信息与科学 指导教师:XXX 2014年12月20日 长沙理工大学课程设计任务书 物理与电子科学学院电子信息与科学专业1203班姓名王玲课程名称EDA技术基础 题目数字钟的设计

长沙理工大学课程设计成绩评定表

目录 1数字钟设计闹钟模块基本任务要求4 2设计思想4 3简述闹钟模块的输入与输出5 4分进程描述6 5仿真结果与分析7 6简述数字钟的设计总成果10 7总结11 参考文献13 代码附件13

基本任务要求:运用QuartusⅡ13.1软件平台,用VHDL语言描述并设计的闹钟模块满足可调闹钟时间,当时钟时间到达闹钟时间后会响闹铃,(由于实验室权限问题会以FPGA开发板上12个LED灯交替发光来表现);整点报时过程表现为整点的前十秒内响铃,(以FPGA开发板上一个LED灯交替发光来实现)。 设计思想:闹钟模块要以分频模块、计数器模块和译码显示模块为基础,将闹钟模块分为三个进程,一个进程用来实现调闹钟,一个进程来实现闹响闹钟(即实现LED灯交替发光),另一个进程来是实现整点报时。 (上面截图为数字钟整体编译后的RTL电路的闹钟模块) 简述闹钟模块图的输入与输出:上图中的输入粗黑实线为计数器模块输出的小时、分钟的高低位和秒钟的高位(都用四位的二进制表示);输出的粗黑实线为定的闹钟时间(包括小时和分钟),将送到译码显示模块显示闹钟时间,闹钟时间与时钟都在FPGA上的数码管显示,用二选一实现交替显示。clk和clk1都是经过分频器分出的不同频率的信号分别用于整点报时的闪灯脉冲与闹钟调时、闹响的闪灯脉冲。

江苏大学 dsp课程设计

JIANGSU UNIVERSITY 本科生课程设计DSP课程设计实验报告 基于ICETEK5509实验箱和基2FFT 算法的频谱分析 学院名称:计算机科学与通信工程学院 专业班级:通信工程 学生姓名: 指导教师姓名: 指导教师职称: 年月

一、设计目的与意义 1、本课程设计与理论课、实验课一起构成《DSP芯片原理与应用》完整课程 体系; 2、针对理论课、实验课中无时间和不方便提及内容和需强调重点进行补充与 完善; 3、以原理算法的实现与验证体会DSP技术的系统性,并加深基本原理的体会。 二、设计要求 1、系统设计要求: ⑴.设计一个以ICETEK5509为硬件主体,FFT为核心算法的频谱分析系统 方案; ⑵.用C语言编写系统软件的核心部分,熟悉CCS调试环境的使用方法, 在CCS IDE中仿真实现方案功能; ⑶.在实验箱上由硬件实现频谱分析。 2、具体要求: ⑴.FFT算法C语言实现与验证 1) 参考教材14.3节FFT核心算法在CCS软件仿真环境中建立FFT工 程:添加main()函数,更改教材中个别语法错误,添加相应的库文 件,建立正确的FFT工程; 2) 设计检测信号,验证FFT算法的正确性及FFT的部分性质; 3) 运用FFT完成IFFT的计算。 ⑵.单路、多路数模转换(A/D) 1) 回顾CCS的基本操作流程,尤其是开发环境的使用; 2) 参考实验指导和示例工程掌握5509芯片A/D的C语言基本控制流 程; 3) 仔细阅读工程的源程序,做好注释,为后期开发做好系统采集前端 设计的准备。 ⑶.系统集成,实现硬件频谱分析 1) 整合前两个工程,实现连续信号的频谱分析工程的构建;

EDA课程设计流水灯设计

EDA课程设计 流水灯设计 姓名: 金兼强 专业: 电子信息工程 班级: 093252 学号: 09325211 同组人:黄奕林简讯 黄树金兼强黄玉涛 指导老师:黄河 2012-11-9

目录 一、摘要〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃3 二、流水灯设计目的〃〃〃〃〃〃〃〃〃〃〃〃〃〃4 三、流水灯设计流程〃〃〃〃〃〃〃〃〃〃〃〃〃〃4 四、流水灯设计程序〃〃〃〃〃〃〃〃〃〃〃〃〃〃5 五、流水灯设计管脚分配〃〃〃〃〃〃〃〃〃〃7 六、功能仿真图〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃8 七、原理图波形图〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃9 八、设计注意事项〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃10 九、课程设计总结〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃11 十、参考文献〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃12 十一、评分表〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃 13

一、摘要 随着EDA技术发展和应用领域的扩大与深入,EDA技术在电子信息、通讯、自动控制及计算机应用等领域的重要性突出。随着技术市场与人才市场对EDA 的需求不断提高,产品的市场需求和技术市场的要求也必然会反映到教学领域和科研领域中来。因此学好EDA技术对我们有很大的益处。EDA是指以计算机为工具,在EDA软件平台上,根据设计社描述的源文件(原理图文件、硬件描述语言文件或波形图文件),自动完成系统的设计,包括编译、仿真、优化、综合、适配(或布局布线)以及下载。 流水灯是一串按一定的规律像流水一样连续闪亮,流水灯控制是可编程控制器的一个应用,其控制思想在工业控制技术领域也同样适用。流水灯控制可用多种方法实现,但对现代可编程控制器而言,基于EDA技术的流水灯设计也是很普遍的。 课程设计主要的目的是通过某一电路的综合设计,了解一般电路综合设计过程、设计要求、应完成的工作内容和具体的设计方法、通过设计也有助于复习、巩固以往的学习内容、达到灵活应用的目的。在设计完成后,还要将设计的电路进行安装、调试以加强学生的动手能力。在此过程中培养从事设计工作的整体观念。 课程设计应强调以能力培养为主,在独立完成设计及制作任务同时注意多方面能力的培养与提高,主要包括以下方面: ·独立工作能力和创造力。 ·综合运用专业及基础知识,解决实际工程技术问题的能力。 ·查阅图书资料、产品手册和各种工具书的能力。 ·写技术报告和编制技术资料的能力。 ·实际动手能力。 利用学到的电子技术知识,通过布置具有一定难度的设计题目,帮助学生熟悉课程设计任务和设计方法。

江苏大学plc课程设计

PLC原理课程设计 一、车辆出入库管理PLC梯形图控制程序设计与调试 二、带数显的温度控制 班级: 姓名: 学号:31105010 指导老师:刁小燕黄永红

目录 一、车辆出入库管理PLC梯形图控制程序设计与调试 1、设计任务 2、程序流程图 3、I/O分配表 4、I/O接线图 5、梯形图 二、带数显的温度控制 1、设计任务 2、程序流程图 3、I/O分配表 4、I/O接线图 5、梯形图

三、PLC课程设计心得 车库车辆出入库管理PLC梯形图控制程序设计与调试 一、设计任务 1.控制要求: (1)入库车辆前进时,经过1#传感器→2#传感器后,计数器A 加1,后退时经过2#传感器→1#传感器后,计数器B减1;(计数器B 的初始值由计数器A送来)只经过一个传感器则计数器不动作(2)出库车辆前进时,经过2#传感器→1#传感器后,计数器B 减1,后退时经过1#传感器→2#传感器后,计数器A加1;只经过一个传感器则计数器不动作 (3)车辆入库或出库时,均应有警铃报警(可分别设置),定时3s (4)可从两个七段数码管上显示目前仓库中有几部车 (5)仓库启用时,先对所有用到的存储单元清零,并应有仓库空显示

(6)若设仓库容量为12辆车,则仓库满时应报警并显示。 2、设计背景 随着生产力和科学技术的不断发展,人们的日常生活和生产活动大量的使用自动化控制,不仅节约了人力资源,而且很大程度的提高了生产效率,又进一步的促进了生产力快速发展,并不断的丰富着人们的生活。 目前,可编程控制器PLC主要是朝着小型化、廉价化、标准化、高速化、智能化、大容量化、网络化的方向发展,与计算机技术相结合,形成工业控制机系统、分布式控制系统DCS(Distributed Control System)、现场总线控制系统FCS(Field bus Control System),这将使PLC的功能更强,可靠性更高,使用更方便,适用范围更广。 本设计是基于PLC的车辆出入库管理系统,采用两位LED来显示车库内车辆的实际数量。使用两个光传感器来监控车辆的进出并完成计数工作,车辆进入时经过两个传感器使显示数字加一,车辆外出时经过两个传感器使显示数字减一,但当车辆只经过一个传感器时不计数。 为了防止意外计数错误,本系统采用反复程序校验,来提高系统的可靠性。 首先,注意控制两个传感器之间的距离,用程序验证进出车库的是否是车辆,当人通过传感器时不计数;其次,采用逻辑互锁方式,启动加计数则要锁定减计数,产生加计数脉冲时则要锁定减计数脉冲,如此以保证可靠性;最后,及时的进行复位处理,以免车辆在传感器附近作往返运动时错误计数。 本设计用于停车场可以使得车主轻松地判断出某停车场内是否还有空车位,还有多少空车位,以便选择是否在此停车场停车,大大方便了车主的寻找车位问题。因此该设计具有一定的经济和推广价值。 二、程序流程图

EDA课程设计说明书参考格式

<>课程设计说明书 题目 院、部: 学生姓名: 指导教师:王晓丽职称助教 专业: 班级: 完成时间:

摘要(三号,黑体,居中,字间空两格字符) (空二行换行) 空4格打印摘要内容(小四号宋体,行距20)。 关键词:(摘要内容后下空一行打印“关键词”三字(小四号黑体),其后为关键词(小四号宋体),每一关键词之间用分号隔开,最后一个关键词后不打标点符号。 ABSTRACT ①居中打印“ABSTRACT”,再下空二行打印英文摘要内容。②摘要内容每段开头留四个空字符。③摘要内容后下空一行打印“Key words”,其后为关键词用小写字母,每一关键词之间用分号隔开,最后一个关键词后不打标点符号。 Key words :aaa;bbb;ccc

目录(3号,黑体,居中) (空1行,以小4号黑体设置字体及大小,行间距22、字间距标准) 1 XXXXXX………………………………………………………………………… 1.1 XXXXXX……………………………………………………………………… 1.2 XXXXXX……………………………………………………………………… ┇ 2 XXXXXX………………………………………………………………………… 2.1 XXXXXX……………………………………………………………………… 2.2 XXXXXX……………………………………………………………………… ┇ 3 4 结束语 参考文献………………………………………………………………………………. 致谢……………………………………………………………………………………附录……………………………………………………………………………………

EDA技术实践课程设计--24进制计数器课件

东北石油大学EDA技术实践课程设计 课程EDA技术实践课程设计 题目24进制计数器 院系电气信息工程学院电气系 专业班级 学生姓名 学生学号 指导教师 年7月25日

EDA技术实践课程设计任务书 课程EDA技术实践课程设计 题目24进制计数器 专业电气工程及其自动化姓名学号 主要内容: 1.熟练掌握Quartus II软件的使用。 2.熟练掌握在QuartusII平台上用原理图或者VHDL语言进行电路设计的方法。 3.学会用例化语句对EDA电路设计中顶层电路进行描述。 基本要求: 1.熟悉仿真开发软件Quartus II的使用; 2.根据功能要求,用原理图或文本输入方式完成设计; 3.用Quartus II做波形仿真调试; 4.下载至EDA试验仪调试设计。 主要参考资料: [1]潘松,黄继业. 《EDA技术实用教程》[M].北京:科学出版社,2002. [2]卢杰,赖毅. 《VHDL与数字电路设计》[M].北京:科学出版社,2001. [3]张明. 《Verilog HDL实用教程》[M].成都:电子科技大学出版社,1999. [4]郑家龙,王小海,章安元.《集成电子技术基础教程》[M].北京:高等教育出版社,2002. [5]王金明,杨吉斌. 《数字系统设计与Verilog HDL》[M].北京:电子工业出版社,2002. 完成期限 指导教师 专业负责人 年7 月18日

目录 1设计 (1) 2方案选择与电路原理图的设计 (1) 2.124进制计数器的基本原理 (1) 2.2设计流程图 (1) 2.3原理图 (1) 374LS161元件说明 (2) 3.1 简介 (2) 3.274ls161管脚图与介绍 (2) 3.374ls161功能表 (3) 3.474ls161主要特点 (3) 4设计过程 (4) 4.1新文件的建立 (4) 4.2宏功能模块的使用 (5) 4.3普通元件的添加 (8) 4.4 电路连接 (9) 5功能仿真 (9) 6出现的问题及调试方法 (11) 7总结 (11) 参考文献 (12) 附录VHDL语言编写的该程序清单 (13)

PLC课程设计完整版

一、课程设计目的和任务 设计目的 PLC课程设计A教学的主要任务是在学生修完《可编程序控制器 A》理论课程后,进行的实践教学。通过课程设计既能验证所学的基本理论知识,同时也可以培养学生的基本操作技能与设计能力,使课堂上所学理论知识得以在实践中运用,做到“学以致用”的教学目标。主要做到以下几点: 1)掌握可编程序控制器在本专业上具体应用的设计过程和实现方法; 2)加深对可编程序控制器原理、应用、编程的进一步理解; 3)结合对有关顺序控制系统和保护控制系统的可编程序控制器的实现过程加深对 PLC控制系统的理解与掌握; 4)拓展可编程序控制器及其在相关行业中应用的相关知识。 设计任务 本次设计的主要任务是在研究喷雾干燥塔系统的工艺流程的基础上,基于 M340 PLC 对喷雾干燥塔控制系统硬件设计,编写喷雾干燥塔控制系统下位机软件,并对控制系统进行调试。本课程设计为后续实践课程《计算机控制系统课程设计》的下位机部分,并与《计算机控制系统课程设计》的上位机程序设计组成一套完整的计算机控制系统实践环节体系。 二、控制对象喷雾干燥塔的分析 喷雾干燥塔背景描述 喷雾干燥塔将液态的料浆经喷枪雾化后喷入干燥塔内,干燥塔利用燃料燃烧的能量将鼓风机送入的空气进行加热;热空气在干燥塔内将雾化的料浆干燥为超细颗粒粉态成品。粉状成品在塔内利用旋风分离原理从热空气中分离出来,有塔的底部翻版阀定期排入收集袋中的合格原料。热空气则通过布袋除尘器除尘后排除。喷雾干燥塔控制系统主要由燃烧、干燥、投料、除尘等几个主要部分组成。主要用于把液态原料制备成固体粉末原料的设备。它被广泛得使用于化工、食品、陶瓷等诸多行业,作为原料或成品加工的设备,该设备一般都作为一套相对独立的系统进行成套供应。 喷雾干燥塔工艺流程简介

EDA课程设计参考题目

附录I EDA课程设计参考题目 注:在以下设计中只可以用一个参考时钟 一、设计数码管显示控制器 要求: 1.能自动一次显示出数字0、1、2、3、4、5、6、7、8、9(自然数列),1、3、5、7、9(奇数列),0、2、4、6、8(偶数列),0、1、2、3、4、5、6、7、0、1(音乐符号序列);然后再从头循环; 2.打开电源自动复位,从自然数列开始显示。 二、设计乒乓球游戏机 要求: 1.用8个发光二极管表示球;用两个按钮分别表示甲乙两个球员的球拍; 2.一方发球后,球以固定速度向另一方运动(发光二极管依次点亮),当球达到最后一个发光二极管时,对方击球(按下按钮)球将向相反方向运动,在其他时候击球视为犯规,给对方加1分;都犯规,各自加1分; 3.甲、乙各有一数码管计分; 4.裁判有一个按钮,是系统初始化,每次得分后,按下一次。 三、设计智力竞赛抢答器 要求: 1.五人参赛每人一个按钮,主持人一个按钮,按下就开始; 2.每人一个发光二极管,抢中者灯亮; 3.有人抢答时,喇叭响两秒钟; 4.答题时限为10秒钟,从有人抢答开始,用数码管倒计时间,0、9、8…1、0;倒计时到0的时候,喇叭发出两秒声响。 四、设计数字钟 要求: 1.输入10HZ的时钟;(提示:对已有kHz频率时钟进行分频) 2.能显示时、分、秒,24小时制; 4.时和分有校正功能; 5.整点报时,喇叭响两秒; 6.可设定夜间某个时段不报时; 注意:硬件资源的节约,否则器件内资源会枯竭。 五、设计交通灯控制器 要求: 1.东西方向为主干道,南北方向为副干道; 2.主干道通行40秒后,若副干道无车,仍主干道通行,否则转换; 4.换向时要有4秒的黄灯期;

EDA技术实践课程设计--24进制计数器

E D A技术实践课程设计 --24进制计数器 -CAL-FENGHAI.-(YICAI)-Company One1

东北石油大学EDA技术实践课程设计 年 7月 25日

EDA技术实践课程设计任务书 课程 EDA技术实践课程设计 题目 24进制计数器 专业电气工程及其自动化姓名学号 主要内容: 1.熟练掌握Quartus II软件的使用。 2.熟练掌握在QuartusII平台上用原理图或者VHDL语言进行电路设计的方法。 3.学会用例化语句对EDA电路设计中顶层电路进行描述。 基本要求: 1.熟悉仿真开发软件Quartus II的使用; 2.根据功能要求,用原理图或文本输入方式完成设计; 3.用Quartus II做波形仿真调试; 4.下载至EDA试验仪调试设计。 主要参考资料: [1]潘松,黄继业. 《EDA技术实用教程》[M].北京:科学出版社,2002. [2]卢杰,赖毅. 《VHDL与数字电路设计》[M].北京:科学出版社,2001. [3]张明. 《Verilog HDL实用教程》[M].成都:电子科技大学出版社,1999. [4]郑家龙,王小海,章安元.《集成电子技术基础教程》[M].北京:高等教育出版社,2002. [5]王金明,杨吉斌. 《数字系统设计与Verilog HDL》[M].北京:电子工业出版社,2002. 完成期限 指导教师 专业负责人 年 7 月18日

目录 1设计 (1) 2方案选择与电路原理图的设计 (1) 2.124进制计数器的基本原理 (1) 2.2设计流程图 (1) 2.3原理图 (1) 374LS161元件说明 (2) 3.1 简介 (2) 3.274ls161管脚图与介绍 (2) 3.374ls161功能表 (3) 3.474ls161主要特点 (3) 4设计过程 (4) 4.1新文件的建立 (4) 4.2宏功能模块的使用 (5) 4.3普通元件的添加 (8) 4.4 电路连接 (9) 5功能仿真 (9) 6出现的问题及调试方法 (11) 7总结 (11) 参考文献 (12) 附录VHDL语言编写的该程序清单 (13)

PLC课程设计完整版DOC

一、课程设计目的和任务 1.1 设计目的 PLC课程设计A教学的主要任务是在学生修完《可编程序控制器 A》理论课程后,进行的实践教学。通过课程设计既能验证所学的基本理论知识,同时也可以培养学生的基本操作技能与设计能力,使课堂上所学理论知识得以在实践中运用,做到“学以致用”的教学目标。主要做到以下几点: 1)掌握可编程序控制器在本专业上具体应用的设计过程和实现方法; 2)加深对可编程序控制器原理、应用、编程的进一步理解; 3)结合对有关顺序控制系统和保护控制系统的可编程序控制器的实现过程加深对 PLC控制系统的理解与掌握; 4)拓展可编程序控制器及其在相关行业中应用的相关知识。 1.2 设计任务 本次设计的主要任务是在研究喷雾干燥塔系统的工艺流程的基础上,基于 M340 PLC 对喷雾干燥塔控制系统硬件设计,编写喷雾干燥塔控制系统下位机软件,并对控制系统进行调试。本课程设计为后续实践课程《计算机控制系统课程设计》的下位机部分,并与《计算机控制系统课程设计》的上位机程序设计组成一套完整的计算机控制系统实践环节体系。 二、控制对象喷雾干燥塔的分析 2.1喷雾干燥塔背景描述 喷雾干燥塔将液态的料浆经喷枪雾化后喷入干燥塔内,干燥塔利用燃料燃烧的能量将鼓风机送入的空气进行加热;热空气在干燥塔内将雾化的料浆干燥为超细颗粒粉态成品。粉状成品在塔内利用旋风分离原理从热空气中分离出来,有塔的底部翻版阀定期排入收集袋中的合格原料。热空气则通过布袋除尘器除尘后排除。喷雾干燥塔控制系统主要由燃烧、干燥、投料、除尘等几个主要部分组成。主要用于把液态原料制备成固体粉末原料的设备。它被广泛得使用于化工、食品、陶瓷等诸多行业,作为原料或成品加工的设备,该设备一般都作为一套相对独立的系统进行成套供应。 2.2 喷雾干燥塔工艺流程简介

EDA课程设计题目

计算机辅助设计参考题目 设计一数字式竞赛抢答器 1、设计一个可容纳6组(或4组)参赛的数字式抢答器,每组设一个按钮,供抢 答使用。 2、抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮不起作用。 3、设置一个主持人“复位”按钮。 4、主持人复位后,开始抢答,第一信号鉴别锁存电路得到信号后,有指示灯显 示抢答组别,扬声器发出2~3秒的音响。 5、设置一个计分电路,每组开始预置100分,由主持人记分,答对一次加10 分,答错一次减10分。 教学提示: 1、此设计问题的关键是准确判断出第一抢答者并将其锁存,实现的方法可使 触发器或锁存器,在得到第一信号后将输入封锁,使其它组的抢答信号无效。 2、形成第一抢答信号后,用编码、译码及数码显示电路显示第一抢答者的组别, 用第一抢答信号推动扬声器发出音响。 3、计分电路采用十进制加/减计数器、数码管显示,由于每次都是加/减10 分,所以个位始终为零,只要十位、百位进行加/减运算即可。 设计二数字钟 1、设计一个能显示1/10秒、秒、分、时的12小时数字钟。 2、熟练掌握各种计数器的使用。 3、能用计数器构成十进制、六十进制、十二进制等所需进制的计数器。 4、能用低位的进位输出构成高位的计数脉冲。 教学提示: 1、时钟源使用频率为0.1Hz的连续脉冲。 2、设置两个按钮,一个供“开始”及“停止”用,一个供系统“复位”用。 3、时钟显示使用数码管显示。 4、“时显示”部分应注意12点后显示1点。 5、注意各部分的关系,由低位到高位逐级设计、调试。 设计三数字频率计 1、设计一个能测量方波信号的频率的频率计。 2、测量的频率范围是1Hz~9999Hz。 3、结果用十进制数显示。 教学提示: 1、脉冲信号的频率就是在单位时间内所产生的脉冲个数,其表达式为,f为被 测信号的频率,N为计数器所累计的脉冲个数,T为产生N个脉冲所需的时间。 所以,在1秒时间内计数器所记录的结果,就是被测信号的频率。 2、被测频率信号取自实验箱晶体振荡器输出信号,加到主控门的输入端。 3、再取晶体振荡器的另一标准频率信号,经分频后产生各种时基脉冲:1ms, 10ms,0.1s,1s等,时基信号的选择可以控制,即量程可以改变。 4、时基信号经控制电路产生闸门信号至主控门,只有在闸门信号采样期间内(时 基信号的一个周期),输入信号才通过主控门。 5、f=N/T,改变时基信号的周期T,即可得到不同的测频范围。

相关主题
文本预览
相关文档 最新文档