当前位置:文档之家› DS18B20数字温度计设计实验报告

DS18B20数字温度计设计实验报告

DS18B20数字温度计设计实验报告
DS18B20数字温度计设计实验报告

单片机原理及应用

课程设计报告书

题目:DS18B20数字温度计

姓名学号:20133522080赵晓磊

20130123096段石磊

20133522028付成

指导老师:万青

设计时间:2015年12月

电子与信息工程学院

目录

1.引言 (3)

1.1.设计意义 (3)

1.2.系统功能要求 (3)

2.方案设计 (4)

3.硬件设计 (2)

4.软件设计 (5)

5.系统调试 (7)

6.设计总结 (8)

7.附录 (9)

8.作品展示 (15)

9.参考文献 (17)

DS18B20数字温度计设计

1.引言

1.1.设计意义

在日常生活及工农业生产中,经常要用到温度的检测及控制,传统的测温元件有热电偶和热电阻。而热电偶和热电阻测出的一般都是电压,再转换成对应的温度,需要比较多的外部硬件支持。其缺点如下:

●硬件电路复杂;

●软件调试复杂;

●制作成本高。

本数字温度计设计采用美国DALLAS半导体公司继DS1820之后推出的一种改进型智能温度传感器DS18B20作为检测元件,测温范围为-55~125℃,最高分辨率可达0.0625℃。

DS18B20可以直接读出被测温度值,而且采用三线制与单片机相连,减少了外部的硬件电路,具有低成本和易使用的热点。

1.2.系统功能要求

设计出的DS18B20数字温度计测温范围在-55~125℃,误差在±0.5℃以内,采用LED数码管直接读显示。

2.方案设计

按照系统设计功能的要求,确定系统由3个模块组成:主控制器、测温电路和显示电路。

数字温度计总体电路结构框图如4.1图所示:

AT89C2051

DS18B20

显示电路

扫描驱动

图4.1

3.硬件设计

温度计电路设计原理图如下图所示,控制器使用单片机AT89C2051,温度传感器使用DS18B20,使用四位共阳LED数码管以动态扫描法实现温度显示。

主控制器单片机AT89C2051

具有低电压供电和小体积等特点,

两个端口刚好满足电路系统的设计需

要,很适合便携手持式产品的设计使用。系统可用两节电池供电。AT89C2051的引脚图如右图所示:

1、VCC:电源电压。

2、GND:地。

3、P1口:P1口是一个8位双向I/O口。口引脚P1.2~P1.7提供内部上拉电阻,P1.0和P1.1要求外部上拉电阻。P1.0和P1.1还分别作为片内精密模拟比较器的同相输入(ANI0)和反相输入(AIN1)。P1口输出缓冲器可吸收

20mA电流并能直接驱动LED显示。当P1口引脚写入“1”时,其可用作输入端,当引脚P1.2~P1.7用作输入并被外部拉低时,它们将因内部的写入“1”时,其可用作输入端。当引脚P1.2~P1.7用作输入并被外部拉低时,它们将因内部的上拉电阻而流出电流。

4、P3口:P3口的P3.0~P3.

5、P3.7是带有内部上拉电阻的七个双向I/O 口引脚。P3.6用于固定输入片内比较器的输出信号并且它作为一通用I/O引脚而不可访问。P3口缓冲器可吸收20mA电流。当P3口写入“1”时,它们被内部上拉电阻拉高并可用作输入端。用作输入时,被外部拉低的P3口脚将用上拉电

阻而流出电流。

5、RST:复位输入。RST一旦变成高电

平所有的I/O引脚就复位到“1”。当振荡器

正在运行时,持续给出RST引脚两个机器周

期的高电平便可完成复位。每一个机器周期

需12个振荡器或时钟周期。

6、XTAL1:作为振荡器反相器的输入和

内部时钟发生器的输入。

7、XTAL2:作为振荡器反相放大器的输出。

总线驱动器74LS244

74LS244为3态8位缓冲器,一般用作总线驱动器。引脚图见上图。

显示电路

显示电路采用4位共阳极LED数码管,从P1口输出段码,列扫描用P3.0~

P3.3口来实现,列驱动用8055三极管。

温度传感器DS18B20

DS18B20的性能特点:

1、适应电压范围更宽,电压范围:3.0~5.5V,在寄生电源方式下可由数据线供电。

2、独特的单线接口方式,DS18B20在与微处理器连接时仅需要一条口线即可实现微处理器与DS18B20的双向通讯。

3、DS18B20支持多点组网功能,多个DS18B20可以并联在唯一的三线上,实现组网多点测温。

4、DS18B20在使用中不需要任何外围元件,全部传感元件及转换电路集成在形如一只三极管的集成电路内。

5、温范围-55℃~+125℃,在-10~+85℃时精度为±0.5℃。

6、可编程的分辨率为9~12位,对应的可分辨温度分别为0.5℃、0.25℃、0.125℃和0.0625℃,可实现高精度测温。

7、在9位分辨率时最多在93.75ms内把温度转换

为数字,12位分辨率时最多在750ms内把温度值转换

为数字,速度更快。

8、测量结果直接输出数字温度信号,以"一线总

线"串行传送给CPU,同时可传送CRC校验码,具有极

强的抗干扰纠错能力。

9、负压特性:电源极性接反时,芯片不会因发热

而烧毁,但不能正常工作。DS18B20与单片机的接口

电路(引脚图见右图)

DS18B20可以采用电源供电方式,此时DS18B20

的第1脚接地,第2脚作为信号线,第3脚接电源。

4.软件设计

系统程序主要包括主程序、读出温度子程序、温度转换命令子程序、计算温度子程序和显示数据刷新子程序等。

4.1主程序

主程序的主要功能是负责温度的实时显示、读出并处理DS18B20的测量温度值。温度测量每1s 进行一次。主程序流程图如图4.1所示。

4.2读出温度子程序

读出温度子程序的主要功能是读出RAM 中的9字节。在读出时必须进行CRC 校验,校验有错时不能进行温度数据的改写。读出温度子程序流程图如下图所示:

读出温度子程序读出温度子程序的主要功能是读出RAM 中的9字节。在读出时须进行CRC 校验,校验有错时不进行温度数据的改写。得出温度子程序流程图如下图所示。初始化

调用显示子程序

1s 到?初次上电读出温度值

温度计酸处理

显示数据刷新

发温度转换开始命令

发DS18B20复位指令CRC 校验正确?

温度转换命令子程序温度转换命令子程序主要是发温度转换开始命令。当采用12位分辨率时,转换时间约为750ms。在本程序设计中,采用1s 显示程序延时法等待转换的完成。温度转换命令子程序流程图如下图所示。

计算温度子程序计算温度子程序将RAM 中读取值进行BCD 码的转换运算,并进行温度值正负的判定。计算温度子程序流程图如下左图所示.

现实数据刷新子程序现实数据刷新子程序主要是对显示缓冲器中的显示数据进行刷新操作,当最高数据显示位为0时,将符号显示位移入下一位。现实数据刷新子程序流程图如下右图所示.发跳过ROM 指令

发读取温度指令

读取操作,CRC 校验

9字节完?

移入温度暂存器

结束

发DS18B20复位命令

发温度转换开始命令

结束

发跳过ROM 命令

开始温度零下?计算小数位温

度BCD 值

计算整数位温

度BCD 值

温度数据移入显示寄存器

十位数0?

5.系统调试

系统的调试以程序调试为主。

硬件调试比较简单,首先检查电感的焊接是否正确,然后可用万用表测试或通电检测。

软件调试可以先编写显示程序并进行硬件的正确性检验,然后分别进行主程序、读出温度子程序、温度转换命令子程序、计算温度子程序和现实数据刷新子程序等的编程及调试

由于DS18B20与单片机采用串行数据传送,因此,对DS18B20进行读/写编程时必须严格地保证读/写时序;否则将无法读取测量结果。本程序采用单片机汇编或C 语言编写用Wave3.2或Keil C51编译器编程调试。

软件调试到能显示温度值,并且在有温度变化时显示温度能改变,救基本完成。

性能测试可用制作的温度机和已有的成品温度计同时进行测量比较。由于DS18B20的精度很高,所以误差指标可以限制在0.5℃以内。

另外,-55~+125℃的测温范围使得该温度计完全适合一般的应用场合,其低电压供电特性可做成用电池供电的手持温度计。

DS18B20温度计还可以在高低温报警、远距离多点测温控制等方面进行应用开发,但在实际设计中应注意以下问题;

1、DS18B20工作时电流高大1.5mA,总线上挂接点数较多且同时进行转换时要考虑增加总线驱动,可用单片机端口在温度转换时导通一个MOSFET 供电。

2、连接DS18B20的总线电缆是有长度限制的,因此在用DS18B20进行长距温度值取补码置

‘一’标志置+标志

结束百位数0?

十位数显示符号百位数不显百位数显示数据(不显示符

号)

结束

离测温系统设计时要充分考虑总线分布电容和阻抗匹配等问题。

3、在DS18B20测温程序设计中,向DS18B20发出温度转换命令后,程序总要等待DS18B20的返回信号。一旦某个DS18B20接触不好或断线,当程序读DS18B20时,将没有返回信号,程序进入死循环。这一点在进行DS18B20硬件连接和软件设计时要给予一定的重视。

6.设计总结

历时2个星期的单片机课程设计已经结束了,在这两个星期的时间里,我们在老师的指导下完成了基于DS18B20的数字温度计的设计和制作。在进行实验的过程中,我们了解并熟悉DS18B20、AT89C2051以及74LS244的工作原理和性能。并且通过温度计的制作,我们将电子技能实训课堂上学到的知识进行运用,并在实际操作中发现问题,解决问题,更加增加对知识的认识和理解。

在课程设计的过程中,也遇到了一些问题。比如最开始根据课本上的电路图进行合理的设计布局和布线。有些同学的布局不合理,导致焊接的过程中任务相当繁重,并且不美观。在之后的烧程序调试的时候,出现问题之后,没有合理布线的同学在查找问题的过程中相当棘手。

在焊接过程中,出现最多的就是虚焊问题。对于这个问题,在焊接的过程中,我尽量依照书中的指导,尽量将焊点焊成水滴状,最后接电后再根据数码管的显示情况进行逐个排查。

在将程序烧到单片机之后,接上电源,发现数码管没有出现正常的“000.0”,而是出现了“666.6”,在检查线路无误之后,和其他的同学讨论之后得出结论:书中程序是对于单片机、电阻及数码管是直接连接而设计的,而在加入了74LS244之后,要将8个输入输出端口进行掉序。于是,我便将8个端口进行调换,之后再次接通电源,数码管显示“000.0”,调试成功。

在自己的温度计制作完成之后,我还帮助其他没有完成的同学进行检修,并且成功帮助2位同学找到问题进行解决,最后都调试成功。

总之,在这2个星期中,通过自己在实验室动手制作数字温度计,不仅将课本的知识与实践相结合,而且在实践中更加深入了解书中原本抽象的知识。这也

是整个课程设计中最有收获的地方。

7.附录

源程序代码

/**************************************************************** *****/

//

//DS18B20温度计C程序

//2005.2.28通过调试

/**************************************************************** *****/

//使用AT89C2051单片机,12MHZ晶振,用共阳LED数码管

//P1口输出段码,P3口扫描

//#pragma src(d:\aa.asm)

#include"reg51.h"

#include"intrins.h"//_nop_();延时函数用

#define Disdata P1//段码输出口

#define discan P3//扫描口

#define uchar unsigned char

#define uint unsigned int

sbit DQ=P3^7;//温度输入口

sbit DIN=P1^0;//LED小数点控制

uint h;

//

//

//*******温度小数部分用查表法**********//

Uchar code ditab[16]={0x00,0x01,0x01,0x02,0x03,0x03,0x04,0x04,0x05,0x06,0x06,0x0 7,0x08,0x08,0x09,0x09}

uchar code

dis_7[12]={0xC0,0xF9,0xA4,0xB0,0x99,0x92,0x82,0xF8,0x80,0x90,0xff,0xb f};

/*共阳LED段码表"0""1""2""3""4""5""6""7""8" "9""不亮""-"*/

uchar code scan_con[4]={0xfe,0xfd,0xfb,0xf7};//列扫描控制字uchar data temp_data[2]={0x00,0x00};//读出温度暂放uchar data display[5]={0x00,0x00,0x00,0x00,0x00};//显示单元数据,共4个数据,一个运算暂存用

//

//

//

/***********11微秒延时函数**********/

//

void delay(uint t)

{

for(;t>0;t--);

}

//

/***********显示扫描函数**********/

scan()

{

char k;

for(k=0;k<4;k++)//四位LED扫描控制

{

Disdata=dis_7[display[k]];

if(k==1){DIN=0;}

discan=scan_con[k];delay(90);discan=0xff;

}

}

//

//

/***********18B20复位函数**********/ ow_reset(void)

{

char presence=1;

while(presence)

{

while(presence)

{

DQ=1;_nop_();_nop_();

DQ=0;//

delay(50);//550us

DQ=1;//

delay(6);//66us

presence=DQ;//presence=0继续下一步}

delay(45);//延时500us

presence=~DQ;

}

DQ=1;

}

//

//

/**********18B20写命令函数*********/

//向1-WIRE总线上写一个字节

void write_byte(uchar val)

{

uchar i;

for(i=8;i>0;i--)//

{

DQ=1;_nop_();_nop_();

DQ=0;_nop_();_nop_();_nop_();_nop_();_nop_();//5us DQ=val&0x01;//最低位移出

delay(6);//66us

val=val/2;//右移一位

}

DQ=1;

delay(1);

}

//

/*********18B20读1个字节函数********/

//从总线上读取一个字节

uchar read_byte(void)

{

uchar i;

uchar value=0;

for(i=8;i>0;i--)

{

DQ=1;_nop_();_nop_();

value>>=1;

DQ=0;//

_nop_();_nop_();_nop_();_nop_();//4us

DQ=1;_nop_();_nop_();_nop_();_nop_();//4us

if(DQ)value|=0x80;

delay(6);//66us

}

DQ=1;

return(value);

}

//

/***********读出温度函数**********/

//

read_temp()

{

ow_reset();//总线复位

write_byte(0xCC);//发Skip ROM命令

write_byte(0xBE);//发读命令

temp_data[0]=read_byte();//温度低8位

temp_data[1]=read_byte();//温度高8位

ow_reset();

write_byte(0xCC);//Skip ROM

write_byte(0x44);//发转换命令

}

//

/***********温度数据处理函数**********/

work_temp()

{

uchar n=0;//

if(temp_data[1]>127)

{temp_data[1]=(256-temp_data[1]);temp_data[0]=(256-temp_data[0]);n=1;} //负温度求补码

display[4]=temp_data[0]&0x0f;display[0]=ditab[display[4]];

display[4]=((temp_data[0]&0xf0)>>4)|((temp_data[1]&0x0f)<<4);//

display[3]=display[4]/100;

display[1]=display[4]%100;

display[2]=display[1]/10;

display[1]=display[1]%10;

if(!display[3]){display[3]=0x0A;if(!display[2]){display[2]=0x0A;}} //最高位为0时都不显示

仙肤莱手机官网https://www.doczj.com/doc/801160757.html,

if(n){display[3]=0x0B;}//负温度时最高位显示"-"

}

//

//

/**************主函数****************/

main()

{

Disdata=0xff;//初始化端口

discan=0xff;

for(h=0;h<4;h++){display[h]=8;}//开机显示8888

ow_reset();//开机先转换一次

write_byte(0xCC);//Skip ROM

write_byte(0x44);//发转换命令

for(h=0;h<500;h++)

{scan();}//开机显示"8888"2秒

while(1)

{

read_temp();//读出18B20温度数据

work_temp();//处理温度数据

for(h=0;h<500;h++)

{scan();}//显示温度值2秒

}

}

//

//*********************结束**************************//

作品展示

数字温度计设计

数字温度计 摘要:温度计在实际生产和人们的生活中都有广泛应用。该设计是数字温度计,首先是对总体方案的选择和设计;然后通过控制LM35进行温度采集;将温度的变化转为电压的变化,其次设计电压电路,将变化的电压量通过放大系统转化为所需要的电压;再通过TC7107将模拟的电压转化为数字量后直接驱动数码管LED对实时温度进行动态显示。最后在Proteus仿真软件中构建了数字温度计仿真电路图,仿真结果表明:在温度变化时,可以通过电压的变化形式传递,最终通过3位十进制数显示出来。 关键词:温度计;电路设计;仿真

目录 1 设计任务与要求 (1) 2 方案设计与论证 (1) 3 单元电路的设计及仿真 (2) 3.1传感器 (2) 3.2放大系统 (2) 3.3 A/D转换器及数字显示 (4) 4 总电路设计及其仿真调试过程 (6) 4.1总电路设计 (6) 4.2仿真结果及其分析 (7) 5 结论与心得 (9) 6 参考文献 (11)

1 设计任务与要求 温度计是工农业生产及科学研究中最常用的测量仪表。本课题要求用中小规模集成芯片设计并制作一数字式温度计,即用数字显示被测温度。具体要求如下:(1)测量范围0~100度。 (2)测量精度0.1度。 (3)3位LED数码管显示。 掌握线性系统的根轨迹、时域和频域分析与计算方法; (2)掌握线性系统的超前、滞后、滞后-超前、一二阶最佳参数、PID等校正方法;(3)掌握MATLAB线性系统性能分析、校正设计与检验的基本方法。 2 方案设计与论证 数字温度计的原理是:通过控制传感器进行温度采集,将温度的变化转化为电压的变化;然后设计电压电路,将变化的电压通过放大系统转化为需要的电压;再通过A/D转换器将模拟的电压转换为数字量后驱动数码管对实时温度进行动态显示。 原理框图如图2-1所示: 传感器放大系统A/D转换显示 图2-1 数字温度计原理框图 由设计任务与要求可知道,本设计实验主要分为四个部分,即传感器、放大系统、模数转换器以及显示部分。经过分析,传感器可以选择对温度比较敏感的器件,做好是在某参数与温度成线性关系,比如用温敏晶体管构成的集成温度传感器或热敏电阻等;放大系统可以由集成运放组成或反相比例运算放大器;A/D转换器需要选择有LED 驱动显示功能的,而可供选择的参考元件有ICL7107,ICL7106,MC14433等;显示部分用3位LED数码管显示。 方案一:用一个热敏电阻,通过热敏电阻把温度转化为电压,再得到每一度热敏电

数字温度计的设计

数字温度计的设计 【摘要】 本文将介绍一种基于单片机控制的数字温度计,就是用单片机实现温度测量,传统的温度检测大多以热敏电阻为温度传感器,但热敏电阻的可靠性差,测量温度准确率低,而且必须经过专门的接口电路转换成数字信号才能由单片机进行处理。本次采用DS18B20数字温度传感器来实现基于AT89S52单片机的数字温度计的设计用LCD数码管以串口传送数据,实现温度显示,能准确达到以上要求,可以用于温度等非电信号的测量,主要用于对测温比较准确的场所,或科研实验室使用,能独立工作的单片机温度检测、温度控制系统已经广泛应用很多领域。 【关键词】关键词1温度计;关键词2单片机;关键词3数字控制;关键词4DS1620 目录 第一章绪论 (2) 1.1 前言 (3) 1.2 数字温度计设计方案 (3) 1.3 总体设计框图 (3) 第二章硬件电路设计............................ 错误!未定义书签。 2.1 主要芯片介绍 (5) 2.1.1 AT89C51的介绍 (5) 2.1.2 AT89C51各引脚功能介绍 (5) 2.2 温度传感器 (7) 2.2.1 DS1620介绍 (7) 第三章软件设计................................ 错误!未定义书签。

3.1 主程序流程图 (11) 3.4 计算温度子程序流程图 (13) 3.5 显示数据刷新子程序流程图 (13) 第四章 Proteus仿真调试......................... 错误!未定义书签。 4.1 Proteus软件介绍 (15) 4.2 Proteus界面介绍 (16) 4.2.1 原理图编辑窗口 (18) 4.2.2 预览窗口 (23) 4.2.3 模型选择工具栏 (31) 4.2.4 元件列表 (35) 4.2.5 方向工具栏 (37) 4.2.6 仿真工具栏 (38) 4.3 本次设计仿真过程 (39) 4.3.1 创建原理图 (40) 设计总结 (50) 结论 (57) 参考文献 (59) 致谢 (62) 附录 (72)

电子技术基础数字温度计课程设计要点

课程设计(论文) 题目名称数字温度计 课程名称电子技术课程设计 学生姓名屈鹏 学号1141201112 系、专业电气工程系电气工程及其自动化 指导教师李海娜 2013年12月17日

邵阳学院课程设计(论文)任务书 年级专业11级电气工程及其自动化学生姓名屈鹏学号1141201112 题目名称数字温度计设计设计时间2013.12.9—2013.12.20 课程名称电子技术课程设计课程编号121202306 设计地点电工电子实验室408、409 一、课程设计(论文)目的 电子技术课程设计是电气工程及自动化专业的一个重要的实践性教学环节,是对已学模拟电子技术、数字电子技术知识的综合性训练,这种训练是通过学生独立进行某一课题的设计、安装和调试来完成,着重培养学生工程实践的动手能力、创新能力和进行综合设计的能力,并要求能设计出完整的电路或产品,从而为以后从事电子电路设计、研制电子产品奠定坚实的基础。 二、已知技术参数和条件 用中小规模集成芯片设计并制作一数字式温度计,具体要求如下: 1、温度范围0-100度。 2、测量精度0.2度。 3、三位LED数码管显示温度。 三、任务和要求 1.按学校规定的格式编写设计论文。 2.论文主要内容有:①课题名称。②设计任务和要求。③方案选择与论证。④方案的原理框图,系统电路图,以及运行说明;单元电路设计与计算说明;元器件选择和电路参数计算的说明等。 ⑤必须用proteus或其它仿真软件对设计电路仿真调试。对调试中出现的问题进行分析,并说明解决的措施;测试、记录、整理与结果分析。⑥收获体会、存在问题和进一步的改进意见等。 注:1.此表由指导教师填写,经系、教研室审批,指导教师、学生签字后生效; 2.此表1式3份,学生、指导教师、教研室各1份。

数电课程设计-温度计实验报告(提交版)

一、设计项目名称 温度采集显示系统硬件与软件设计 二、设计内容及要求 1,根据设计要求,完成对单路温度进行测量,并用数码管显示当前温度值系统硬件设计,并用电子CAD软件绘制出原理图,编辑、绘制出PCB印制版。 要求: (1)原理图中元件电气图形符号符合国家标准; (2)整体布局合理,注标规范、明确、美观,不产生歧义。 (3)列出完整的元件清单(标号、型号及大小、封装形式、数量) (4) 图纸幅面为A4。 (4)布局、布线规范合理,满足电磁兼容性要求。 (5)在元件面的丝印层上,给出标号、型号或大小。所有注释信息(包括标号、型号及说明性文字)要规范、明确,不产生歧义。 2.编写并调试驱动程序。 功能要求: (1)温度范围0-100℃。 (2)温度分辨率±1℃。 (3)选择合适的温度传感器。 3.撰写设计报告。 提示:可借助“单片机实验电路板”实现或验证软件、硬件系统的可靠性。

温度传感器 摘要:温度的检测与控制是工业生产过程中比较典型的应用之一,随着传感器在生产和生活中的更加广泛的应用,利用新型单总线式数字温度传感器 实现对温度的测试与控制得到更快的开发,随着时代的进步和发展,单 片机技术已经普及到我们生活,工作,科研,各个领域。一种数字式温 度计以数字温度传感器DS18B20作感温元件,它以单总线的连接方式, 使电路大大的简化。传统的温度检测大多以热敏电阻为传感器,这类传 感器可靠性差,测量温度准确率低且电路复杂。因此,本温度计摆脱了 传统的温度测量方法,利用单片机STC89C52对传感器进行控制。这样 易于智能化控制。 关键词:数字测温;温度传感器DS18B20;单片机STC89C52; 一.概述 传感器从功能上可分为雷达传感器、电阻式传感器、电阻应变式传感器、压阻式传感器、热电阻传感器、温度传感器、光敏传感器、湿度传感器、生物传感器、位移传感器、压力传感器、超声波测距离传感器等,本文所研究的是温度传感器。 温度传感器是最早开发,应用最广泛的一类传感器。温度传感器是利用物质各种物理性质随温度变化的规律把温度转换为电量的传感器。这些呈现规律性变化的物理性质主要有半导体。温度传感器是温度测量仪表的核心部分,品种繁多。 随着科学技术的发展,测温系统已经被广泛应用于社会生产、生活的各个领域,在工业、环境监测、医疗、家庭多方面均有应用。从而使得现代温度传感器的发展。微型化、集成化、数字化正成为发展的一个重要方向。

数字温度计的设计与仿真

单片机原理与应用设计课程综述 设计项目数字温度计 任课教师 班级 姓名 学号 日期

基于AT89C51的数字温度计设计与仿真摘要:随着科学技术的不断发展,温度的检测、控制应用于许多行业,数字温度计就是其中一例,它的反应速度快、操作简单,对环境要求不高,因此得到广泛的应用。 传统的温度测量大多使用热敏电阻,但热敏电阻的可靠性差,测量温度准确率低,而且必须经过专门的接口电路将模拟信号转换成数字信号才能由单片机进行处理。本课题采用单片机作为主控芯片,利用DS18B20来实现测温,用LCD液晶显示器来实现温度显示。 温度测量范围为0~119℃,精确度0.1℃。可以手动设置温度上下限报警值,当温度超出所设报警值时将发出报警鸣叫声,并显示温度值,该温度计适用于人们的日常生活和工、农业生产领域。 关键词:数字温度计;DS18B20;AT89C51; LCD1602 一、绪论 1.1 前言 随着人们生活水平的不断提高,单片机控制无疑是人们追求的目标之一,它所给人带来的方便也是不可否定的,其中数字温度计就是一个典型的例子,但人们对它的要求也越来越高,要为现代人工作、科研、生活、提供更好的更方便的设施就需要从单片机技术入手,一切向着数字化控制,智能化控制方向发展。单片机技术已经普及到我们生活,工作,科研,各个领域,已经成为一种比较成熟的技术,单片机已经在测控领域中获得了广泛的应用。 1.2 课题的目的及意义 数字温度计与传统温度计相比,具有结构简单、可靠性高、成本低、测量范围广、体积小、功耗低、显示直观等特点。该设计使用AT89C51,DS18B20以及通用液晶显示屏1602LCD等。通过本次设计能够更加了解数字温度计工作原理和熟悉单片机的发展与应用,巩固所学的知识,为以后工作与学习打下坚实的基础。 数字温度计主要运用在工业生产和实验研究中,如电力、化工、机械制造、粮食存储等领域。温度是表征其对象和过程状态的重要参数之一。比如:发电厂锅炉

数字温度计设计总结报告

数字温度计(A2题)设计与总结报告专科组:春梁福鑫钟才莉 摘要:随着时代的进步和发展,单片机技术已经普及到我们生活,工作,科研等各个领域,已经成为一种比较成熟的技术, 本设计在参阅了大量前人设计的数字温度计的基础上,利用单片机技术结合DS18B20温度传感器和DS1302时钟芯片构建了一个数字温度计。本温度计属于多功能温度计,当测量温度超过设定的温度上、下限,启动蜂鸣器和指示灯报警,可以显示当前测量日期、时间、温度,可调整显示日期、时间和星期。 关键词:单片机;数字控制;数字温度计;DS18B20;DS1302;报警 前言 本设计所介绍的数字温度计与传统的温度计相比,具有读数方便,测温围广,测温准确,其输出温度采用数字显示,主要用于对测温比较准确的场所,或科研实验室使用,该设计控制器使用单片机AT89S52,测温传感器使用DS18B20,以及使用时钟芯片DS1302测实时时钟,用一块低功耗的RT1602C液晶显示器以串口传送数据,实现温度和时间显示,能准确达到以上要求。 本设计主要分为两部分:硬件电路及软件程序。而硬件电路又大体可分为单片机小系统电路、测温电路、实时时钟电路、声光报警电路、语音报读电路、LED显示电路及电源电路,各部分电路的设计及原理将会在硬件电路设计部分详细介绍;程序的设计使用C语言编程,利用Keil 软件对其编译和仿真,详细的设计算法将会在程序设计部分详细介绍。 一、方案论证比较与选择 方案一: 由于本设计是测温电路,可以使用热敏电阻之类的器件利用其感温效应,在将随被测温度变化的电压或电流采集过来,进行A/D转换后,就可以用单片机进行数据的处理,在显示电路上,就可以将被测温度显示出来,这种设计需要用到A/D转换电路,感温电路比较麻烦,制作成本高。 方案二: 方案二原理框架图 此设计方案是由数字式温度传感器、单稳态定时电路、计数电路、译码与LED数码管显示电路等组成的。但其测温围较小,电路设计也比较繁琐。 方案三: 进而考虑到用温度传感器,在单片机电路设计中,大多都是使用传感器,所以这是非常容易想到的,因此我们改用一种智能传感器DS18B20作为检测元件,测温围-55℃~+125℃,分辨率最大可达0.0625℃。此传感器,可以直接读取被测温度值,而且采用3线制与单片机相连,减少了外部硬件电路,具有低成本和易使用的特点。 从以上三种方案,很容易看出,采用方案三,电路比较简单,软件设计也比较简单,故采用了方案三。 二、系统框图 温度计电路设计总体设计方框图如图1所示,控制器采用单片机AT89S52,温度传感器采用DS18B20,

DS18B20数字温度计设计实验报告

单片机原理及应用 课程设计报告书 题目:DS18B20数字温度计 姓名学号:20133522080 赵晓磊 20130123096 段石磊 20133522028 付成 指导老师:万青 设计时间: 2015年12月

电子与信息工程学院 目录 1.引言 (3) 1.1.设计意义 (3) 1.2.系统功能要求 (3) 2.方案设计 (4) 3.硬件设计 (2) 4.软件设计 (5) 5.系统调试 (7) 6.设计总结 (8) 7.附录 (9) 8.作品展示 (15) 9.参考文献 (17)

DS18B20数字温度计设计 1.引言 1.1. 设计意义 在日常生活及工农业生产中,经常要用到温度的检测及控制,传统的测温元件有热电偶和热电阻。而热电偶和热电阻测出的一般都是电压,再转换成对应的温度,需要比较多的外部硬件支持。其缺点如下: ●硬件电路复杂; ●软件调试复杂; ●制作成本高。 本数字温度计设计采用美国DALLAS半导体公司继DS1820之后推出的一种改进型智能温度传感器DS18B20作为检测元件,测温范围为-55~125℃,最高分辨率可达0.0625℃。 DS18B20可以直接读出被测温度值,而且采用三线制与单片机相连,减少了外部的硬件电路,具有低成本和易使用的热点。 1.2. 系统功能要求 设计出的DS18B20数字温度计测温范围在-55~125℃,误差在±0.5℃以内,采用LED数码管直接读显示。

2. 方案设计 按照系统设计功能的要求,确定系统由3个模块组成:主控制器、测温电 路和显示电路。 数字温度计总体电路结构框图如4.1图所示: 图4.1 3. 硬件设计 温度计电路设计原理图如下图所示,控制器使用单片机AT89C2051,温度传 感器使用DS18B20,使用四位共阳LED 数码管以动态扫描法实现温度显示。 主控制器 单片机AT89C2051 具有低电压供电和小体积等特点, 两个端口刚好满足电路系统的设计需AT89C2051 主 控 制 器 DS18B20 显示电路 扫描驱动

数字式温度计的设计课程设计

课程设计说明书 课程设计名称:单片机课程设计 课程设计题目:数字式温度计的设计学院名称:电气信息学院 专业班级:15电力(3)班 学生学号:1504200623 学生姓名:曾高 学生成绩: 指导教师:易先军 课程设计时间:2017.10.30 至2017.11.5

格式说明(打印版格式,手写版不做要求) (1)任务书三项的内容用小四号宋体,1.5倍行距。 (2)目录(黑体,四号,居中,中间空四格),内容自动生成,宋体小四号。 (3)章的标题用四号黑体加粗(居中排)。 (4)章以下的标题用小四号宋体加粗(顶格排)。 (5)正文用小四号宋体,1.5倍行距;段落两端对齐,每个段落首行缩进两个字。 (6)图和表中文字用五号宋体,图名和表名分别置于图的下方和表的上方,用五号宋体(居中排)。(7)页眉中的文字采用五号宋体,居中排。页眉统一为:武汉工程大学本科课程设计。 (8)页码:封面、扉页不占页码;目录采用希腊字母Ⅰ、Ⅱ、Ⅲ…排列,正文采用阿拉伯数字1、2、3…排列;页码位于页脚,居中位置。 (9)标题编号应统一,如:第一章,1,1.1,……;论文中的表、图和公式按章编号,如:表1.1、表1.2……;图1.2、图1.2……;公式(1.1)、公式(1.2)。

课程设计任务书 一、课程设计的任务和基本要求 (一)设计任务(从“单片机课程设计题目”汇总文档中任选1题,根 据所选课题的具体设计要求来填写此栏) 1. 用DS18B20设计一款能够显示当前温度值的温度计; 2. 通过切换按钮可以切换华氏度和摄氏度显示; 3. 测量精度误差在正负0.5摄氏度以内。 (二)基本要求 1.有硬件结构图、电路图及文字说明; 2.有程序设计的分析、思路说明; 3.有程序流程框图、程序代码及注释说明; 4.完成系统调试(硬件系统可以借助实验装置实现,也可在Proteus 软件中仿真模拟); 5.有程序运行结果的截屏图片。

简易数字式温度计设计

摘要 随着人们生活水平的不断提高,单片机控制无疑是人们追求的目标之一,它所给人带来的方便也是不可否定的,其中数字温度计就是一个典型的例子,但人们对它的要求越来越高,要为现代人工作、科研、生活、提供更好的更方便的设施就需要从数单片机技术入手,一切向着数字化控制,智能化控制方向发展。 本设计所介绍的数字温度计与传统的温度计相比,具有读数方便,测温围广,测温准确,其输出温度采用数字显示,主要用于对测温比较准确的场所,或科研实验室使用,该高精度数字式温度计采用了由DALLAS公司生产的单线数字温度传感器DS18B20,它具有独特的单线总线接口方式。本毕业论文详细的介绍了单线数字温度传感器DS18B20的测量原理、特性以及在温度测量中的硬件和软件设计,该温度计具有接口简单、精度高、抗干扰能力强、工作稳定可靠等特点。 关键词:DS18B20 温度传感器STC89C51

目录 第一章绪论3 1.1 课题背景及研究意义3 1.2 国外的现状3 1.3 设计的目的4 1.4 设计实现的目标4 1.5 数字温度计简介5

第一章绪论 1.1 课题背景及研究意义 随着新技术的不断开发与应用,近年来单片机发展十分迅速,一个以微机应用为主的新技术革命浪潮正在蓬勃兴起,单片机的应用已经渗透到电力、冶金、化工、建材、机械、食品、石油等各个行业。传统的温度采集方法不仅费时费力,而且精度差,单片机的出现使得温度的采集和数据处理问题能够得到很好的解决。温度是工业对象中的一个重要的被控参数。然而所采用的测温元件和测量方法也不相同;产品的工艺不同,控制温度的精度也不相同。本系统所使用的加热器件是电炉丝,功率为三千瓦,要求温度在400~1000℃。静态控制精度为2.43℃。 本设计使用单片机作为核心进行控制。单片机具有集成度高,通用性好,功能强,特别是体积小,重量轻,耗能低,可靠性高,抗干扰能力强和使用方便等独特优点,在数字、智能化方面有广泛的用途。 1.2 国外的现状 温度控制系统在国各行各业的应用虽然已经十分广泛,但从国生产的温度控制器来讲,总体发展水平仍然不高,同日本、美国、德国等先进国家相比,仍然有着较大的差距。成熟的温控产品主要以“点位”控制及常规的PID控制器为主,它们只能适应一般温度系统控制,而用于较高控制场合的智能化、自适应控制仪表,国技术还不十分成熟,形成商品化并广泛应用的控制仪表较少。随着我国经济的发展及加入WTO,我国政府及企业对此都非常重视,对相关企业资源进行了重组,相继建立了一些国家、企业的研发中心,开展创新性研究,使我国仪表工

数显温度计实验报告

项目编号: 大学生课外开放实验校级普通项目 实验报告 立项时间: 项目名称:数显温度计的设计与制作 学生姓名: 指导教师: 学院: 完成时间:2014.5 设备与实验室管理处制

0. 引言 单片机技术作为计算机技术的一个分支,广泛地应用于工业控制,智能仪器仪表,机电一体化产品,家用电器等各个领域。“单片机原理与应用”在工科院校各专业中已作为一门重要的技术基础课而普遍开设。学生在课程设计,毕业设计,科研项目中会广泛应用到单片机知识,而且,进入社会后也会广泛接触到单片机的工程项目。鉴于此,提高“单片机原理及应用”课的教学效果,让学生参与课程设计实习甚为重要。单片机应用技术涉及的内容十分广泛,如何使学生在有限的时间内掌握单片机应用的基本原理及方法,是一个很有价值的教学项目。为此,我们进行了“单片机的学习与应用”方面的课程设计,锻炼学生的动脑动手以及协作能力。 单片机课程设计是针对模拟电子技术,数字逻辑电路,电路,单片机的原理及应用课程的要求,对我们进行综合性实践训练的实践学习环节,它包括选择课设任务、软件设计,硬件设计,调试和编写课设报告等实践内容。通过此次课程设计实现以下三个目标:第一,让学生初步掌握单片机课程的试验、设计方法,即学生根据设计要求和性能约束,查阅文献资料,收集、分析类似的相关题目,并通过元器件的组装调试等实践环节,使最终硬件电路达到题目要求的性能指标;第二,课程设计为后续的毕业设计打好基础,毕业设计是系统的工程设计实践,而课程设计的着眼点是让学生开始从理论学习的轨道上逐渐引向实际运用,从已学过的定性分析、定量计算的方法,逐步掌握工程设计的步骤和方法,了解科学实验的程序和实施方法。第三,培养学生勤于思考乐于动手的习惯,同时通过设计并制作单片机类产品,使学生能够自己不断地学习接受新知识(如在本课设题目中存在智能测温器件DS18B20,就是课堂环节中不曾提及的“新器件”),通过多人的合作解决现实中存在的问题,从而不断地增强学生在该方面的自信心及兴趣,也提高了学生的动手能力,对学生以后步入社会参加工作打下一定良好的实践基础。 1.设计意义 在日常生活及工农业生产中,经常要用到温度的检测及控制,传统的测温元件有热电偶和热电阻。而热电偶和热电阻测出的一般都是电压,再转换成对应的温度,需要比较多的外部硬件支持。其缺点如下: ●硬件电路复杂; 2

数字温度计实验报告

课程授课教案 一、实验目的和要求 1.掌握集成运算放大器的工作原理及其应用。 2.掌握温度传感器工作原理及其应用电路。 3. 了解双积分式A/D转换器的工作原理。 4. 熟悉213位A/D转换器MC14433的性能及其引脚功能。 5. 熟悉模拟信号采集和输出数据显示的综合设计与调试方法。 6. 进一步练习较复杂电路系统的综合布线和读图能力。 设计要求如下: 1. 设计一个数字式温度计,即用数字显示被测温度。数字式温度计具体要求为: ①测量范围为0~100℃ ②用4位LED数码管显示。 二、主要仪器和设备 1.数字示波器 2.数字万用表 3.电路元器件: 温度传感器 LM35 1片 集成运算放大器LM741 1片 集成稳压器 MC1403 1片 A/D转换器 MC14433 1片 七路达林顿晶体管列阵 MC1413 1片 BCD七段译码/驱动器 CC4511 1片 电阻、电容、电位器若干 三、实验内容、原理及步骤 1.总体方案设计 图1为数字温度计的原理框图。其工作原理是将被测的温度信号通过传感器转换成随温度变化的电压信号,此电压信号经过放大电路后,通过模数转换器把模拟量转变成数字量,最后将数字量送显示电路,用4位LED数码管显示。 图1 数字温度计原理框图 2. 温度传感器及其应用电路 温度传感器LM35将温度变化转换为电信号,温度每升高一度,大约输出电压升高10mV。在25摄氏度时,输出约250mV。图2(a)、(b)图为LM35测温电路。

(a)基本的测温电路(+2°C to +150°C) (b)全量程的测温电路(?55°C to +150°C) 图2(a)、(b)图为LM35测温电路 LM35系列封装及引脚参见下图 3。 图 3 LM35系列封装及引脚图 3.放大电路 放大器使用LM 741普通运放,作为实验用数字温度计,可以满足要求;如果作为长期使用的定型产品,可以选用性能更好、温度漂移更小的OP07等型号的产品,引脚与LM741兼容,可以直接替换使用。此放大器的目的是通过提供合适的放大倍数及使用一定的参考电压,将线性输出变化的温度信号电压对应的LED数字变化与实际温度变化基本一致。它实际上是一个增益和偏置可调的线性放大电路,调整可变电阻器R,可以改变增益,使温度显示变化和实际变化取得一致。输入端所接的调零电阻,是调节偏置的,用来使显示温度数字和实际温度一致。(参考227页) 4. A/D转换器 A/D转换器,采用MOTOROLA公司的产品MC14433。A/D转换器MC14433的内部结构及其引脚图如下图4所示。该芯片为本系统的核心电路,将模拟电压信号转换为数字信号,并分别输出数据信号和选通脉冲等。该芯片具有外围电路简单,不需要使用昂贵的石英晶体振荡器提供时钟信号,片内可以自己产生显示所需的选通脉冲和刷新信号等特色,仅需少量外围电路配合,就能实现LED的数字显示功能。

基于单片机的数字温度计设计报告

课程设计报告 引言 随着电子技术的不断发展,我们能应用到的电子产品也越来越多。而生活中我们用的很多电子产品都越来越轻巧,价格也越来越便宜.利用电子芯片实现的东西也越来越来越多,比如数字温度计。当然,非电子产品的常用温度计也很便宜。此次课设论文所介绍的是自己动手制作的一个高精度数字温度计。本次课设不但丰富了课余生活,还从实践中学到并了很多新知识,并从中巩固了以前的知识。 用Protel 99软件来设计制作电路板——PCB(Printed circuit Bound)。在PCB上,布置一系列的芯片、电阻、电容等元件,通过PCB上的导线相连,构成电路,一起实现一定的功能。电路通过连接器或者插槽进行输入/输出,有时还有显示部分(如发光二极管LED、.数码显示器等)。可以说,PCB是一块连接板,它的主要目的是为元件提供连接,为整个电路提供输入输出端口和显示,电气连接通性是PCB最重要的特性之一。PCB在各种电子设备中有如下功能:(1)提供集成电路等各种电子元件固定、装配的机械支撑。(2)实现集成电路等各种电子元件之间的布线和电气连接或电绝缘,提供所要的电气特性。(3)为电动装配提供阻焊徒刑,为元器件插装、检查、维修提供识别符和图形。 做本课题的所用到的知识是我们学过的模拟电子电路以及数字逻辑电路等,当然还用到了刚刚学过不久的单片机知识。本次课设是把理论和实践结合起来,这不但可以锻炼自己的动手能力,而且还可以加深对数字逻辑电路和模拟电子电路的学习和理解。同时也激起了我学好单片机的斗志。为了全面清晰的表达,本论文用图文并茂的方式,尽可能详细的地介绍此次设计的全过程。

1.设计务任和要求 1.1、基本范围-20℃——100℃ 1.2、精度误差小于0.5℃ 1.3、LED 数码直读显示 1.4、可以任意设定温度的上下限报警功能 2. 系统总体方案及硬件设计 2.1数字温度计设计方案论证 2.1.1方案一 由于本设计是测温电路,可以使用热敏电阻之类的器件利用其感温效应,在将随被测温度变化的电压或电流采集过来,进行A/D 转换后,就可以用单片机进行数据的处理,在显示电路上,就可以将被测温度显示出来,这种设计需要用到A/D 转换电路,其中还涉及到电阻与温度的对应值的计算,感温电路比较麻烦。而且在对采集的信号进行放大时容易受温度的影响从而出现较大的偏差。 2.1.2 方案二 考虑到用温度传感器,在单片机电路设计中,大多都是使用传感器,所以这是非常容易想到的,所以可以采用一只温度传感器DS18B20,此传感器,可以很容易直接读取被测温度值,进行转换,电路简单,精度高,软硬件都以实现,而且使用单片机的接口便于系统的再扩展,满足设计要求。 从以上两种方案,很容易看出,采用方案二,电路比较简单,费用较低,可靠性高,软件设计也比较简单,故采用了方案二。 2.2系统总体设计 温度计电路设计总体设计方框图如图2.1所示,控制器采用单片机STC89C52,温度传感器采用DS18B20,用4位LED 数码管以串口传送数据实现温度显示。

简易数字温度计课程设计

唐山学院 单片机原理课程设计 题目简易数字温度计 系 (部) 智能与信息工程学院 班级 姓名 学号 指导教师 2017 年 1 月 2 日至 1 月 6 日共 1 周 2017年1月4日

《单片机原理》课程设计任务书

课程设计成绩评定表

目录 1.方案论证 0 2.硬件设计............................................ 错误!未定义书签。 2.1系统构成 (1) 2.2器件选择 (1) 2.2.1 AT89C51概述 (1) 2.2.2 AT89C51引脚功能 (3) 2.2.3复位电路的设计 (4) 2.3数字温度传感器 (5) 2.3.1 DS1621的技术指标 (5) 2.3.2 DS1621的工作原理 (6) 2.4 单片机和DS1621接口电路...................... 错误!未定义书签。 2.5 七段LED数码显示电路 (7) 3.系统软件设计 (9) 3.1 编程语言选择 (9) 3.2 主程序的设计 (9) 3.3 温度采集模块设计 (10) 3.4 温度计算模块设计 (10) 3.5 串行总线编程 (11) 4.软硬件调试结果分析 (12) 5.设计总结 (13) 6.参考文献 (14) 附录A 多点温度采集系统电路原理图 (15)

1.方案论证 该系统可以使用方案一:热敏电阻;方案二:数字温度芯片DS1621实现。采用数字温度芯片DS1621 测量温度,输出信号全数字化。便于单片机处理及控制,省去传统的测温方法的很多外围电路。且该芯片的物理化学性很稳定,它能用做工业测温元件,此元件线形较好。在0—100摄氏度时,最大线形偏差小于1摄氏度。DS1621 的最大特点之一采用了单总线的数据传输,由数字温度计DS1621和微控制器AT89C51构成的温度测量装置,它直接输出温度的数字信号,可直接与计算机连接。这样,测温系统的结构就比较简单,体积也不大。采用51 单片机控制,软件编程的自由度大,可通过编程实现各种各样的算术算法和逻辑控制,而且体积小,硬件实现简单,安装方便。 控制工作,还可以与PC 机通信上传数据,另外AT89S51 在工业控制上也有着广泛的应用,编程技术及外围功能电路的配合使用都很成熟。 该系统利用AT89C51芯片控制温度传感器DS1621进行实时温度检测并显示,能够实现快速测量环境温度,并可以根据需要设定上下限报警温度。该系统扩展性非常强,它可以在设计中加入时钟芯片DS1302以获取时间数据,在数据处理同时显示时间,并可以利用AT24C16芯片作为存储器件,以此来对某些时间点的温度数据进行存储,利用键盘来进行调时和温度查询,获得的数据可以通过MAX232芯片与计算机的RS232接口进行串口通信,方便的采集和整理时间温度数据。故采用了方案二。 测温电路的总体设计方框图如图1-1所示,控制器采用单片机AT89C51,温度传感器采用DS1621,用5位LED数码管以串口传送数据实现温度显示。 图1-1 测温电路的总体设计方框图

几种温湿度计的使用实验报告

西北大学文化遗产学院2010级文物保护技术专业实验报告 实验名称:几种温湿度计的使用 姓名:赵星 学号:2010102110 报告日期:2013年3月20日

几种温湿度计的使用实验报告 一、实验目的: 1、学会几种温湿度计的使用。 2、学习各种温湿度计的原理及使用范围。 二、实验原理: 1、通过测量空气的温湿度来确定环境的的温湿度。 2、酒精和水银温度计是利用其热胀冷缩的原理来制作温度计,双金属自记式温度计是通过两种胀缩系数不同的金属对温度改变反应的不同,来带动滑动杆记录温度。干湿球温度计是通过计算干球和湿球两者温度之差来确定湿度的,毛发湿度计是通过毛发的湿胀干缩性质来确定环境中湿度的仪器。 三、实验材料: 自记式温湿度计、普通干湿球温度计、通风干湿球温度计、蒸馏水、洗瓶。 四、实验内容: 1、普通干湿球温度计的使用。向湿球内加入适量的蒸馏水,等待一定时间读出干球温度和湿球温度,并根据两者差值来确定环境湿度。 2、通风干湿球温度计的使用。向湿球中加入适量的水,旋转发条,放置一段时间,读出两者数据和差值,查表得环境湿度。 3、自记式温湿度的使用。先用毛笔蘸少量水润湿毛发,稍等片刻,将指针调至95%-100%之间,放置待指针稳定后将示数与通风干湿球温湿度计的结果比较校准,然后通过通风干湿球温度计的数据校准温度,将指针与时间表对齐后放置。 五、注意事项: 1、使用前确保温度计可以正常使用,且准确。 2、自记式温湿度计需要校准。 3、注意仪器安全。 六、实验步骤: 1、调节通风干湿球温度计。将通风干湿球温度计打水上发条后,放置15分钟,期间不断上发条,确保其正常通风。如此重复两次,确保温度计的数据准确。 页 1

数字温度计的设计与实现

基于单片机的数字温度计的设计 摘要 随着时代的进步和发展,单片机技术已经普及到我们生活,工作,科研,各个领域,已经成为一种比较成熟的技术。本文将介绍一种基于单片机控制的数字温度计,就是用单片机实现温度测量,传统的温度检测大多以热敏电阻为温度传感器,但热敏电阻的可靠性差,测量温度准确率低,而且必须经过专门的接口电路转换成数字信号才能由单片机进行处理。本次采用DS18B20数字温度传感器来实现基于AT89S52单片机的数字温度计的设计,这种设计需要用到A/D转换电路,感温电路比较麻烦。其输出温度采用数字显示,主要用于对测温比较准确的场所,或科研实验室使用,该设计控制器采用单片机8051,温度传感器采用 DS18B20,以边沿D触发器7474、移位寄存器74LS164和共阴极LED数码管为主体设计了一款简易数字式温度计实现温度显示。 关键词:数字温度计;单片机;传感器;DS18B20;

目录 第一章绪论 (1) 第二章数字温度计的总体设计 2.1总体设计方案 2 2.2 重要性能指标 (2) 2.3 系统主要模块方案论证与比较 (2) 2.3.1控制模块的选用 (2) 2.4 设计要求和实现的功能 (3) 3.1 主要芯片介绍 (4) 3.1.1 AT89S52的介绍 (4) 3.2 温度检测模块 (7) 3.2.1 DS18B20的简介 (7) 3.2.2 DS18B20的引脚功能 (9) 3.2.3 DS18B20的两个表格 (10) 3.2.4 DS18B20的测温原理 (11) 3.2.5 DS18B20的时序设置 (12) 3.2.6 DS18B20硬件电路设计 (13) 4.1 系统主程序 (15) 4.3 计算温度子程序流程图 (16) 4.4 显示数据子程序 (17) 4.5 系统初始化程序 (17) 4.6 温度转换段码子程序 (18) 5.1 Proteus软件介绍 (20) 5.1.2 工作界面 (21) 5.2 仿真结果图 (22) 参考文献 (26)

数字温度计设计

数字温度计设计 IMB standardization office【IMB 5AB- IMBK 08- IMB 2C】

电子技术课程设计报告(数字温度计) 姓名: 学号: 专业年级:电信111 指导教师: 设计时间:2013/06/17-2013/06/27

第一章引言 科技的高速发展,科技产品在不断的的更新。传统的温度计已经不能满足人们对温度准确度和精确度的要求。这些参数的获取都需要有高科技做保证,在三大信息信息采集(即传感器技术)、信息传输(通信技术)和信息处理(计算机技术)中,传感器属于信息技术的前沿尖端产品,尤其是温度传感器技术,在我国各领域已经引用的非常广泛,可以说是渗透到社会的每一个领域,人民的生活与环境的温度息息相关,在工业生产过程中需要实时测量温度,在农业生产中也离不开温度的测量,因此研究温度的测量方法和装置具有重要的意义。 测量温度的关键是温度传感器,温度传感器随着温度而引起的物理参数变化有:膨胀,电阻,电容,电动势,磁性能,频率,光学特性及热噪声等等。温度传感器的发展经历了三个发展阶段:传统的分立式温度传感器、模拟集成温度传感器、智能集成温度传感器。 当今信息化时代展过程中,各种信息的感知、采集、转换、传输和处理的功能器件已经成为各个应用领域中不可缺少的重要技术工具。传感器是信息采集系统的首要部件,是实现现代化测量和自动控制的主要环节,是现代信息产业的源头,又是信息社会赖以存在和发展的物质与技术基础。可见理解和撑握传感器的知识与技术有着其极重要的意义。 对采集的信息都希望用最直接的方式显示出来,但是传感器所采集的信息是模拟的信号,并且信号是非常微小的,需要用放大器进行放大。模拟信号不能直接用数字仪器直接显示,通过模数转换之后就可以将模拟量转变成数字量,在通过数码管进行显示。有些可以直接与单片机链接。数码管有共阳极与共阴极两类,本次设计采用的是共阳极的七段数码管。 第二章设计任务与要求 ①设计任务:设计一数字温度计,将测量的温度值转换为数字量并显示出来,即将收集的模拟的信号转换成数字信号。 ②设计要求:必须选择一个温度传感器,并且所设计的数字温度计测量的范围为0-100℃,采用数模转换(单片机除外),LED数码管进行数字显示。 第三章设计方案 设计方案主要包括温度的采集与信号的放大,数模转换,数码显示三部分。

单片机实验报告-温度计

成绩: 滨江学院 单片机原理及应用 实验项目温度计DS18B20 院系滨江学院电子工程系 专业信息工程 学生姓名马骏 学号20142309029 二零一七年十一月十八日

一、实验目的 1.1实验意义 在日常生活及工农业生产中,经常要用到温度的检测及控制,传统的测温元件有热电偶和热电阻。而热电偶和热电阻测出的一般都是电压,再转换成对应的温度,需要比较多的外部硬件支持。其缺点如下: ●硬件电路复杂; ●软件调试复杂; ●制作成本高。 本数字温度计设计采用美国DALLAS半导体公司继DS1820之后推出的一种改进型智能温度传感器DS18B20作为检测元件,测温范围为-55~125℃,最高分辨率可达0.0625℃。 DS18B20可以直接读出被测温度值,而且采用三线制与单片机相连,减少了外部的硬件电路,具有低成本和易使用的热点。 1.2功能要求 设计出的DS18B20数字温度计测温范围在-55~125℃,误差在±0.5℃以内,采用LED数码管直接读显示。 二、实验硬件 2.1方案设计 按照系统设计功能的要求,确定系统由3个模块组成:主控制器、测温电路和显示电路。 数字温度计总体电路结构框图如图所示:

2.2硬件设计 温度计电路设计原理图如下图所示,控制器使用单片机AT89C2051,温度传感器使用DS18B20,使用四位共阳LED数码管以动态扫描法实现温度显示 2.3主控制器单片机AT89C2051 具有低电压供电和小体积等特点,两个端口刚好满足电路系统的设计需要,很适合便携手持式产品的设计使用。系统可用两节电池供电。AT89C2051的引脚图如下图所示: 1、VCC:电源电压。 2、GND:地。 3、P1口:P1口是一个8位双向I/O口。口引脚P1.2~P1.7提供内部上拉电阻,P1.0和P1.1要求外部上拉电阻。P1.0和P1.1还分别作为片内精密模拟比较器的同相输入(ANI0)和反相输入(AIN1)。P1口输出缓冲器可吸收 20mA电流并能直接驱动LED显示。当P1口引脚写入“1”时,其可用作输入端,当引脚P1.2~P1.7用作输入并被外部拉低时,它们将因内部的写入“1”时,其可用作输入端。当引脚P1.2~P1.7用作输入并被外部拉低时,它们将因内部的上

一种新型数字温度计的设计

一种新型数字温度计的设计 莫禾胜* 李精华 (桂林航天工业高等专科学校 电子工程系,广西 桂林 541004) 摘 要 单片机在日用电子产品中的应用越来越广泛,对各种测量仪器、 测量装置的测量精度要求也越来越高,尤其是对温度的测量非但要准确,而且需读取数值更直观更方便。文章介绍了一款基于AT 89S51单片机和温度传感器DS18B20的新型数字温度计的软件及硬件设计过程,并对硬件原理图和程序流程图作了描述。 关键词 单片机;温度传感器;动态扫描 中图分类号:T H811 文献标识码:A 文章编号:1009 1033(2008)02 0044 03 随着单片机技术的不断发展,单片机在日用电子产品中的应用越来越广泛,温度传感器DS18B20具有线性优良、性能稳定、灵敏度高、抗干扰能力强、使用方便等优点,广泛应用于冰箱、空调器、粮仓等日常生活中温度的测量和控制。传统的温度计有反应速度慢、读数麻烦、测量精度不高、误差大等缺点,下面介绍一款基于A T 89S51单片机和温度传感器DS18B20的新型数字温度计的设计过程,其电路简单,软硬件结构模块化,易于实现。 1 系统功能原理及硬件组成 该数字温度计利用DS18B20集成温度传感器来完成温度的测量,然后送到单片机AT 89S51中进行处理变换,最后将温度值显示在D3、D2、D1共3位七段码L ED 显示器上。系统以A T 89S51单片机为控制核心,加上DS18B20测温电路、4位温度数据显示电路以及外围电源、时钟电路等组成。温度计电路设计总体设计框图如图1 所示。 图1 总体设计方框图 1.1 主控制器 AT 89S51是一个低功耗,高性能CM O S 8位单片机,片内含4k 可反复擦写1000次的F lash 只读程序存储器,器件采用AT M EL 公司的高密度、非易失性存储技术制 造,兼容标准M CS-51指令系统及80C51引脚结构,芯片内集成了通用8位中央处理器和ISP F lash 存储单元,功能强大的微型计算机的AT 89S51可为许多嵌入式控制应用系统提供高性价比的解决方案。A T 89S51具有如下特点:40个引脚,4k Bytes F lash 片内程序存储器,128by tes 的随机存取数据存储器(RA M ),32个外部双向输入/输出(I/O )口,5个中断优先级2层中断嵌套中断,2个16位可编程定时计数器,2个全双工串行通信口,看门狗(W DT )电路,片内时钟振荡器。 1.2 显示电路 显示电路采用3位共阳L ED 数码管,利用动态扫描方式,从P0口输出段码,P2口的P2.5、P 2.6、P2.7输出位控制码。 1.3 温度传感器 D S18B20温度传感器是美国DA L LA S 半导体公司最新推出的一种改进型智能温度传感器,与传统的热敏电阻等测温元件相比,它能直接读出被测温度,并且可根据实际要求通过简单的编程实现9~12位的数字值读数方式。D S18B20温度传感器的内部存储器还包括一个高速暂存R AM 和一个非易失性的可电擦除的EERA M 。系统对D S18B20的各种操作按协议进行。操作协议为:初使化D S18B20(发复位脉冲) 发RO M 功能命令 发存储器操作命令 处理数据。分别说明如下: (1)初始化 单总线的所有处理均从初始化开始。初 始化过程是主机通过向作为从机的DS18B20芯片发一个有时间宽度要求的初始化脉冲实现的。初始化后,才可进行读写操作。 (2)存储器操作命令 总线主机检测到DS18B20的存在,便可以发出RO M 操作命令,这些命令见表1: 44 * 作者简介:莫禾胜(1966 ),男,广西桂林人,桂林航天工业高等专科学校电子工程系教师,工程师,讲师。主要研 究方向:电子技术应用。

相关主题
文本预览
相关文档 最新文档