当前位置:文档之家› 《遥感技术与应用》实验大纲

《遥感技术与应用》实验大纲

《遥感技术与应用》实验大纲
《遥感技术与应用》实验大纲

《遥感技术与应用》实验教学大纲

课程名称遥感技术与应用课程编号

课程性质必修课程属性专业基础课设课方式独立设课实验项目 4

总学时12 实验学时12 总学分 2 开课学期第5学期适用专业测绘工程先修课程遥感技术与应用

教材:《遥感概论》

教材或实验指导书

实习指导书:《遥感原理与应用课堂实验指导书》

一、实验课程简介

“遥感技术与应用”实验就是从给定的实际问题出发,利用计算机系统作为实验工具,以遥感理论作为实验原理,以参数反演、模型构建或图像演示等作为实验内容,以实例分析、信息提取、归纳总结等为主要实验方法,以实验报告、论文等为最终形式,以学生为主体的实践教学活动。强调学生亲自动手和设计,体验解决问题的过程,从实验中去学习、探索和发现遥感规律。通过教学使学生了解利用遥感理论和遥感方法分析和解决问题的全过程,激发他们学习遥感的兴趣和应用遥感解决实际问题的意识与能力,提高他们利用计算机软件及当代最新科技成果的意识,能将遥感、计算机有机地结合起来去解决实际问题,培养学生的创新精神和创新能力。

二、实验目的及任务

1.实验课的目的:使学生理解遥感数字影像处理的基本概念,提高学生的理论知识和水平,使学生掌握基本的遥感数字影像处理技术和方法,培养学生的实际动手能力,使学生了解遥感数字影像处理的发展及其趋势,培养学生的科研素质。

2.实验任务:

2.1 建立一个完整的遥感数据处理的基本概念和模式;

2.2 能利用Erdas Imagine进行遥感影像的各种处理。

三、实验方式及要求

1.适当介绍问题的背景、主要的实验原理和方法,主要采用室内上机实验。

2.实验要求:

2.1 了解遥感软件的基本结构,并能熟练地运用该软件处理遥感数据;

2.2 掌握使用软件工具来进行各种图像基本操作;

2.3 灵活运用软件并能对结果进行分析、总结。

四、考核方法

1.考核方式:指导教师根据学生的实验态度、操作技能和实验成果的完成情况综合评定

成绩。

2.评分标准:根据学生对遥感软件中各个窗口操作熟练程度、是否能够正确地进行遥感

数据处理来进行综合评判。评分标准分为优秀、良好、中等、及格、不及格。

五、配套教材或指导书

杜培军.《遥感原理与应用》.中国矿业大学出版社,2006

彭望琭.《遥感概论》.北京:高等教育出版社,2002

《遥感原理与应用课堂实验指导书》(PDF格式电子版)

六、实验项目设置表

项目序号实验项目

名称

内容提要

实验

学时

实验

要求

实验

类别

1 遥感软件的基本操作软件的结构、特点、功能 3 必做演示

2 遥感数据的预处理各种格式图像的输入/输出;图像裁剪;

图像几何校正;图像拼接处理;图像投影

变换

3 必做验证

3

遥感影像的镶嵌与增

强空间增强处理;辐射增强处理;光谱增强

处理

3 必做验证

4 遥感影像的分类非监督分类;监督分类 3 必做综合

七、说明

无。

制定者:樊琦

审核者:

批准者:

制定日期:2014年9月

电子技能实训教学大纲

电子技能实训教学大纲 Final approval draft on November 22, 2020

电子技能实训教学大纲一、实训课程概况: 电子技能实训教学是以学生自己动手,掌握电子技术基础理论、一定操作技能和制作几种实际产品为特色的实训项目。它是电子产品生产基本技能和工艺知识入门的向导,又是创新实践的开始和创新精神的启蒙。电子技能实训教学体系的研究是为了在电子实训教学中使机电、电子专业的学生尽快掌握电子产品的生产工艺的理论,掌握技能、积累经验和提高能力共同发展。为机电、电子专业的学生毕业后尽快适应岗位的需要,改革现行的电子实训教学内容和教学方式,高起点的培养电子产品的设计制造人才,以满足制造业发展对人才的需要。 二、实训课程安排: 本课程分九个实训单元进行。 (一) 电子基本技能实训 (二)电子仪器与线路分析实训 (三)EDA实训 (四)电子综合技能(信号发生器制作)实训 (五)电子综合技能(数字电子钟制作)实训 (六)计算机仿真实训 (七)家用电器维修实训 《电子基本技能实训》教学大纲 实训名称:电子基本技能实训实训课代码: 实训周数:一周实训学分:1 适用专业:工科类修电子技术课程所有专业,适用于本科 一、实训教学的性质和任务 电子基本技能实训是电子、电气类职业技术教育的重要环节,是培养学生实践技能的重要途径之一。本课程从电子元器件识别、检测,通过万用表、电子测量仪器的使用,焊接元器件装配技术,手工设计印制电路板,使学生得到一个基本的实践技能训练机会,为以后的实验、实训课程打下基础。 主要目的和任务:

(一)、掌握电子技术应用过程中的一些基本技能。 (二)、巩固、扩大已获得的理论知识。 (三)、了解电子设备制作、装调的全过程,掌握查找及排除电子电路故障的常用方法。 (四)、培养学生综合运用所学的理论知识和基本技能的能力,尤其是培养学生独立分析和解决问题的能力。 二、实训教学的主要内容和基本要求 1、电子基本技能实训教学的主要内容及知识、能力、素质的基本要求: (一)、熟练掌握指针式万用表和数字式万用表的使用方法及注意事项。 (二)、熟练识别各种电子元器件;了解各种元器件的作用、分类、性能及其参数。(三)、用万用表对各种元器件进行测试和判别。 (四)、会查阅电子元器件相关手册。 (五)、掌握各种仪器仪表的操作步骤;了解各种仪器仪表的使用注意事项 (六)、掌握各种焊接工具的使用及维护。 (七)、熟悉电子产品的安装及手工焊接技术,能独立完成电子元器件的拆、装、焊。(八)、能独立完成简单电子产品的安装与焊接。 (九)、熟悉电路板的设计原则;了解印制电路板的制作过程。 (十)、掌握简单电子产品(LC振荡器)原理图的绘制。 2、电子基本技能实训教学方法手段的基本要求: 将该实训分成教学模块,由老师逐块讲解示范,再由学生动手实际操作,老师布置实训任务,学生在规定时间内完成,教师随时指导检查,最终使学生熟练掌握该实训的全部内容,并写出实训总结报告。 3、电子基本技能实训教学考核方法的基本要求: 在规定时间内完成实训任务,并且准确设计合理的,成绩优秀(10分); 在规定时间内完成实训任务,但有错误能及时发现并改正者,成绩良好(8分); 在规定时间内完成实训任务,但错误未能改正者,成绩及格(6分); 未能在规定时间内完成实训任务者,成绩不及格(4分)。 各次考核成绩最终汇总量化,同出勤、课堂表现成绩一同计入总成绩。 出勤:10分课堂考核:50分(10分/次*5次) 课堂表现:10分实训总结报告:30分

《模拟电子技术实验》实验指导书

北方民族大学 Beifang University of Nationalities 《模拟电子技术实验》课程指导书 北方民族大学教务处

北方民族大学 《模拟电子技术实验》课程指导书 编著杨艺丁黎明 校审杨艺 北方民族大学教务处 二〇一二年三月

《模拟电子技术实验》课程是工科类大学二年级学生必修的一门实践类课程。实验主要设备包括模拟电子技术实验箱、信号发生器、示波器、数字万用表、交流毫伏表和直流电源等。 课程教学要求是:通过该课程,学生学会正确使用常用的电子仪器,掌握三极管放大电路分析和设计方法,掌握集成运放的使用及运算放大电路各项性能的测量,学会查找并排除实验故障,初步培养学生实际工程设计能力,学会仿真软件的使用,掌握工程设计的概念和步骤,为以后学习和工作打下坚实的实践基础。 《模拟电子技术实验》课程内容包括基础验证性实验,设计性实验和综合设计实践三大部分。 基础验证性实验主要包括仪器设备的使用、双极性三极管电路的分析、负反馈放大电路的测量等内容。主要培养学生分析电路的能力,掌握电路基本参数的测量方法。 设计性实验主要包括运算电路的实现等内容。主要要求学生掌握基本电路的设计能力。 综合设计实践主要包括项目的选题、开题、实施和验收等过程,要求学生能够掌握电子产品开发的整个过程,提高学生的设计、制作、调试电路的能力。 实验要求大家认真做好课前预习,积极查找相关技术资料,如实记录实验数据,独立写出严谨、有理论分析、实事求是、文理通顺、字迹端正的实验报告。 本书前八个实验项目由杨艺老师编写,实验九由丁黎明老师编写。全书由丁黎明老师提出课程计划,由杨艺老师进行校对和排版。参与本书课程计划制订的还有电工电子课程组的全体老师。 2012年3月1日

西北工业大学-数字电子技术基础-实验报告-实验2

数字电子技术基础第二次实验报告 一、题目代码以及波形分析 1. 设计一款可综合的2选1多路选择器 ①编写模块源码 module multiplexer(x1,x2,s,f); input x1,x2,s; output f; assign f=(~s&x1)|(s&x2); endmodule ②测试模块 `timescale 1ns/1ps module tb_multiplexer; reg x1_test; reg x2_test; reg s_test; wire f_test; initial s_test=0;

always #80 s_test=~s_test; initial begin x1_test=0; x2_test=0; #20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; #20 x1_test=0; x2_test=0;

#20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; end multiplexer UUT_multiplexer(.x1(x1_test),.x2(x2_test),.s(s_test),.f(f_test)); endmodule ③仿真后的波形截图

④对波形的分析 本例目的是令s为控制信号,实现二选一多路选择器。分析波形图可以知道,s为0时,f 输出x1信号;s为1时,f输出x2信号。所以实现了目标功能。 2. 设计一款可综合的2-4译码器 ①编写模块源码 module dec2to4(W,En,Y); input [1:0]W; input En; output reg [0:3]Y; always@(W,En) case({En,W}) 3'b100:Y=4'b1000; 3'b101:Y=4'b0100; 3'b110:Y=4'b0010;

《模拟电子技术基础》实验报告撰写模版

实验报告 实验名称单级共射放大电路 课程名称___电子技术实验(模拟) 院系部: 专业班级: 学生姓名:学号: 同组人:实验台号: 指导教师:成绩: 实验日期: 华北电力大学

实验报告的撰写要求 实验报告要能真实的反映实验过程和结果,是对实验进行总结、提高的重要环节,应当认真撰写。实验报告的要求是有理论分析,要实事求是,字迹要清楚,文理要通顺。 实验报告的内容包括: 1、实验目的及要求。 2、实验仪器:列出完成本次实验的实验条件。 3、实验原理:实验项目的已知条件、技术指标、实验电路。 4、实验步骤:根据实验内容的要求对电路进行测量与调整方法、出现的故 障以及排除故障的方法。 5、讨论与结论:总结实验心得体会和收获,解答思考题,对实验中存在的 问题等进行分析和讨论,对实验的进一步想法或改进意见。 6、原始数据记录:原始数据是指在实验过程中按照实验要求进行测量的、未经任何处理的数据和波形,是进行数据处理的依据。要求将实验教材中的“实验原始数据记录”撕下,粘贴在实验报告“实验原始数据粘贴处”,复印无效。

实验报告要求: 一、实验目的及要求 二、仪器用具 三、实验原理 四、实验步骤(包括实验结果与数据处理) 五、讨论与结论(对实验现象、实验故障及处理方法、实验中存在的问题等进行分析和讨论,对实验的进一步想法或改进意见。) 六、实验原始数据

一、实验目的及要求: 1. 学会放大器静态工作点的调试方法,分析静态工作点对放大器性能的影响。 2. 掌握放大器电压放大倍数和最大不失真输出电压的测试方法。 3. 悉常用电子仪器及模拟电路实验设备的使用。 二、仪器用具:略 三、实验原理 图1.2.1为电阻分压式工作点稳定单管放大器实验电路图。 图1.2.1 共射极单管放大器实验电路 在图1.2.1电路中,当流过偏置电阻1B R 和2B R 的电流远大于晶体管VT 的基极电流B I 时(一般5~10倍),则它的静态工作点可用下式估算: CC B2B1B1B U R R R U +≈ U CE =U CC -I C (R C +R F1 + R E ) 电压放大倍数: 1)1( // F R β++-=be L C V r R R β A 其中r be =200+26 (1+β)/I E 输入电阻:R i =R B1 // R B2 // [r be +(1+β)R F1] 输出电阻:R O ≈R C 四、实验步骤: 1. 调试静态工作点 接通+12V 电源、调节R W ,使U E =2.0V ,测量U B 、U E 、U C 、R B2值。记入表1.2.1。 E U BE = U B - U E =0.665V ,U CE = U C - U E =5.8V,I C ≈I E = U E /R E =2/(1.1)=1.82mA 实验数据显示,Q 点的值满足放大电路的静态工作点要求,BJT 处于放大区。 C E BE B E I R U U I ≈+-≈1 F R

电子技术基础实验课实验大纲

《电子技术基础实验课》实验大纲 实验面向的课程:模拟电子技术、数字电子技术、电工学、电工与电子技术、课程面向的专业:面向全校开设有电子技术和电工学的所有电类和非电类专业课程属性:专业基础课 课程是以下专业本科生(或专科生)教学的必修课程(或选修课程):电子信息科学与技术、微电子学、采矿工程、地质过程、材料科学与工程、机械设计制造及其自动化、测控技术与仪器、电器工程及其自动化、自动化、电子信息工程、通信工程、计算机科学与技术、电子科学与技术、软件工程、建筑学、土木工程、建筑环境与设备工程、测绘工程、环境工程、安全工程、化学工程与工艺、工业工程、电子商务(网络应用)。 课程教学大纲要求的总学时(包括理论教学学时、实验学时):最多76+76(模拟电子技术+数字电子技术) 其中理论教学学时:最多60(模拟电子技术) 实验学时:16 课程包括的实验个数:8(可开12) 实验目的:电子技术基础实验是一门应用广泛而实践性很强的技术基础课。是为培养学生能应用学到的基本理论进行分析与解决问题的基本技能。加深对电子技术基础课程实验内容的理解。 一、实验理论课教学实验内容 1、电子测量的基本知识: 电子测量的特点;测量与误差;基本误差分析、误差传递、减小误差方法、共地问题、共地与屏蔽 2、常用电子仪器的基本原理与使用: 晶体管图示仪 万用表(指针式、数字式) 晶体管电压表、数字电路实验箱 双通道示波器 信号发生器 直流稳压电源

3、电子测量的基本方法: 常用电子元件参数测量 集成电路的测量 电压与电流的测量 时间与频率及相位的测量 二、模拟电子技术基础单元实验 实验一常用电子仪器的原理与使用 实验类别:验证性实验 实验目的:了解所掌握实验常用仪器的基本原理与正确使用方法,能分析测量误差的来源与减少误差的方法。 实验内容:用示波器观察交流正弦波、方波及三角波信号并计算频率与振幅。晶体管毫伏表测量交流信号,正确判断幅值,用万用表测量直流信号,熟悉其功能。 实验要求:自觉遵守实验规则,熟悉仪器、仪表上各部分作用与功能,正确使用测量仪器。 主要仪器设备: 示波器、信号发生器、直流稳压电源、晶体管毫伏表、万用表。 实验中注意事项: 在测量中应正确进行测量、调节,防止因连接不当或过载造成仪器仪表损坏及出现较大误差。 实验二晶体二、三极管及电子元件的测试 实验类别:演示性实验 实验目的:了解晶体管图示仪的基本工作原理,掌握用图示仪测量晶体管主要参数的方法。 掌握用万用表测量二、三极管电极性的方法。熟悉各电阻电容电感等元器件的识 别方法。 实验内容:利用图示仪测量晶体管特性,画出观察到的曲线。利用万用表判断二极管的极性正反向电阻、三极管的类别、电极及放大能力,各电阻电 容的识别与测量。 实验要求:掌握晶体管图示仪及万用表对晶体管等电子元件的测量方法,判断各

《电工电子技术》教学大纲

《电工与电子技术》教学大纲 课程名称:电工电子技术课程类别:职业基础课 学时: 88 学分: 4.5 适用专业:机械类所有专业 先修课程:工程数学(含线代) 一、课程教学目标 《电工电子技术》是一门具有较强实践性的职业基础课程。通过本课程的学习,学生可以获得电工和电子技术的基本理论和基本技能。为学习后续课程和专业课打好基础,也为今后从事工程技术工作和科学研究奠定一定的理论基础。 课程的任务在于培养学生的科学思维能力,树立理论联系实际的工程观点,提高学生分析问题和解决问题的能力。 二、教学内容及基本要求 1、电路 (1)了解电路的作用和组成,电路的三种状态。 (2)了解电路主要物理量的定义。 (3)掌握电流、电压的参考方向。 2、电路的基本元件 (1)了解电阻、电感和电容元件的特性。 (2)掌握电源的两种模型及外特性。 3、电路的基本定律 (1)了解欧姆定律和基尔霍夫定律的主要内容。 (2)掌握用欧姆定律和基尔霍夫定律分析电路的方法。 4、电路的分析方法 (1)掌握用支路电流法,叠加原理,戴维南定理分析电路。 (2)学会运用电压源、电流源的互换方法。 5、正弦交流电路基础 (1)掌握正弦量的相量表示法。 (2)了解正弦量的三要素。 (3)掌握分析单一参数元件的交流电路。 6、正弦交流电路的分析方法 (1)了解谐振的基本概念以及RLC串联电路与并联电路的谐振条件和特点。 (2)掌握RLC串联电路中电压与电流的关系及功率的计算。 (3)掌握阻抗串、并联电路的分析、计算方法,提高功率因数的方法。 7、三相正弦交流电路 (1)了解了解三相交流电的产生。 (2)掌握三相交流电的表示方法。 (3)掌握负载两种连接形式的相、线电压,相、线电流的关系。

模拟电子技术实验

实验2 单管放大电路 1.1 实验目的 (1) 熟悉电子元件和模拟电路实验箱。 (2) 掌握放大器静态工作点的调试方法及其对放大器性能的影响。 (3) 学习测量放大器Q点,A v,r i,r o的方法,了解共射极电路的特性。 (4) 学习放大器的动态性能。 1.2 实验仪器与设备 示波器,信号发生器,交流毫伏表,数字万用表,模拟/数字电路实验箱。 1.3 预习要求 (1) 熟悉分压式偏置放大器的工作原理,了解元器件参数对放大器性能的影响。 (2) 熟悉放大器的动态及静态测量方法。 1.4 实验内容与步骤 (一)、连接直流电路,测量静态工作点 1.连接直流电路 (1)用万用表判断实验元件(三极管、电解电容、电阻、电位器)及实验所用导线的好坏。 (2) 连接分压式偏置放大器的直流通路,电路如图1-1所示,将R W的阻值调到最大100K。 图1-1 分压式偏置单管放大器的直流通路

(3)调节直流稳压电源电压输出调节旋钮,使其输出+12V(方法:用万用表直流电压档监测直流稳压电源输出端口,调节旋钮使万用表显示+12 V) 2.调节静态工作点 接通稳压电源(方法:用红色导线连接直流稳压电源的正极与R W R C的公共点,用黑色导线连接直流稳压电源的负极与R B2 R E的公共点),调节R W使U CE=1/2 U CC,V BE=0.7V 测量晶体管各极对地电压U B、U C和U E,将测量结果和计算所得结果填入表1-1中。 U CE =U C-U E U BE =U B-U E I C = I E= U E /R E 表1-1 静态工作点实验数据 (二)、连接完整电路,测量动态参数 1.连接完整电路 图1-2 分压式偏置单管放大器原理图 注意:电解电容的极性。 3.电压放大倍数的测量 (1)接通函数信号发生器电源,调节函数信号发生器的频率调节旋钮和幅度调节旋钮,使函数信号发生器输出频率 f =1 kHz ,输出电压U S=10 mV (有效值)的交流信号(若输出不能达到10 mV,可调节输出衰减旋钮20~60 dB和幅度调节旋钮即可)。 注意:信号发生器输出交流信号的频率通过数码管显示即可读出来,输出交流信号的幅度必须使用晶体管毫伏表检测方可读出电压有效值。 (2)将信号发生器、示波器、晶体管毫伏表按图1-3接入。信号发生器的正极、示波

数字电子技术基础实验

《数字电子技术基础实验》 实验报告 学院: 学号: 姓名: 专业: 实验时间: 实验地点: 2016年12月

Figure 5.51n位移位寄存器 一、实验目的及要求 编写testbench 验证Figure 5.51源代码功能,实现n位移位寄存器。 了解并熟悉移位寄存器的工作原理功能; 熟悉n位移位寄存器的逻辑功能。 所需功能:实现所需功能需要R,Clock,L,w,Q,5个变量,其中参数n 设为缺省值16,以定义触发器的个数。 当时钟信号Clock从0变为1时刻,正边沿触发器做出响应: 当L=0时,对输出结果Q进行向右移位,将w的值赋给Q的 最高位,实现移位; 当L=1时,将输入R的值寄存在Q中; 所需EDA工具及要求: Modelsim: 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测 试,观察仿真波形图并进行分析等; Synplify Pro: 1、使用Synplify Pro对Figure 5.51进行综合,得到RTL View、 Technology View、综合报表等,进行观察、分析等; 二、实验内容与步骤 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 本题实现的是一个n位移位寄存器,触发器对时钟信号Clock敏感,为正边沿敏感型。L实现对Q的控制,若L=1,则将R寄存到Q中;若L=0,则对Q向右移位。 如下图是一个4位移位寄存器 图表说明了该四位移位寄存器的移位过程

module shiftn (R, L, w, Clock, Q); parameter n = 16; input [n-1:0] R; input L, w, Clock; output reg [n-1:0] Q; integer k; always @(posedge Clock) if (L) Q <= R; else begin for (k = 0; k < n-1; k = k+1) Q[k] <= Q[k+1]; Q[n-1] <= w; end endmodule 这是可用于表示任意位宽的移位寄存器的代码,其中参数n设为缺省值16,以定义触发器的个数。R和Q的位宽用n定义,描述移位操作的else 分支语句用for循环语句实现,可适用于由任意多个触发器组成的移位操作。 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测试,观察仿真波形图并进行分析等; `timescale 1ns/1ns module shiftn_tb;

模拟电子线路实验实验报告

模拟电子线路实验实验 报告 Document number:NOCG-YUNOO-BUYTT-UU986-1986UT

网络高等教育 《模拟电子线路》实验报告 学习中心:浙江建设职业技术学院奥鹏学习中心层次:高中起点专科 专业:电力系统自动化技术 年级: 12 年秋季 学号: 学生姓名:

实验一常用电子仪器的使用 一、实验目的 1.了解并掌握模拟电子技术实验箱的主要功能及使用方法。 2.了解并掌握数字万用表的主要功能及使用方法。 3.学习并掌握TDS1002型数字存储示波器和信号源的基本操作方 法。 二、基本知识 1.简述模拟电子技术实验箱布线区的结构及导电机制。 布线区面板以大焊孔为主,其周围以十字花小孔结构相结合,构成接点的连接形式,每个大焊孔与它周围的小孔都是相通的。 2.试述NEEL-03A型信号源的主要技术特性。 ①输出波形:三角波、正弦波、方波、二脉、四脉、八脉、单次脉冲信号; ②输出频率:10Hz~1MHz连续可调; ③幅值调节范围:0~10V P-P连续可调; ④波形衰减:20dB、40dB; ⑤带有6位数字频率计,既可作为信号源的输出监视仪表,也可以作外侧频率计用。 注意:信号源输出端不能短路。 3.试述使用万用表时应注意的问题。

使用万用表进行测量时,应先确定所需测量功能和量程。 确定量程的原则: ①若已知被测参数大致范围,所选量程应“大于被测值,且最接近被测值”。 ②如果被测参数的范围未知,则先选择所需功能的最大量程测量,根据初测结果逐步把量程下调到最接近于被测值的量程,以便测量出更加准确的数值。 如屏幕显示“1”,表明已超过量程范围,须将量程开关转至相应档位上。 4.试述TDS1002型示波器进行自动测量的方法。 按下“测量”按钮可以进行自动测量。共有十一种测量类型。一次最多可显示五种。 按下顶部的选项按钮可以显示“测量1”菜单。可以在“信源”中选择在其上进行测量的通道。可以在“类型”中选择测量类型。 测量类型有:频率、周期、平均值、峰-峰值、均方根值、最小值、最大值、上升时间、下降时间、正频宽、负频宽。 三、预习题 1.正弦交流信号的峰-峰值=_2__×峰值,峰值=__根号2__×有效值。 2.交流信号的周期和频率是什么关系 两者是倒数关系。 周期大也就是频率小,频率大也就是周期长

模拟电子技术实验教学大纲

山东学院 Shandon University 《模拟电子技术》实验教学大纲 学院信息学院 专业电子信息工程 课程名称模拟电子技术 编写时间2016年3月 教务处制

《模拟电子技术》实验教学大纲 课程编号:01212001 课程名称:模拟电子技术 课程类型:必修课 学时:64 学分: 3.5 应开实验个数:6 课程性质:非独立设课 适用层次、专业:高职,电子信息工程 一、实验总体目标与任务 使学生掌握基本电子电路的工作原理、主要特性以及电路之间的互联匹配等基本知识之后,学会阅读器件产品手册,了解以最少量的集成电路芯片设计出满足技术要求、性能可靠、成本低廉的应用电子电路的方法,为进一步学习后续课程打下基础,初步具备模拟电子电路的分析、设计和调试能力。 二、本课程对培养学生实践与创新能力的要求 要求掌握电子元件的识别与焊接技术,熟悉常用电子仪器的使用技术,理解简单电子系统的分析和设计的方法能运用常用电子仪器测试与调试模拟电子电路。 四、实验目的及内容 实验项目一常用电子仪器的使用(2学时) 【实验目的】

1、学习电子电路实验中常用的电子仪器——示波器、函数信号发生器、直流稳压电源、交流毫伏表、频率计等的主要技术指标、性能及正确使用方法。 2、初步掌握用双踪示波器观察正弦信号波形和读取波形参数的方法。 【实验内容】 1、用机内校正信号对示波器进行自检。 1) 扫描基线调节 将示波器的显示方式开关置于“单踪”显示(Y1或Y2),输入耦合方式开关置“GND”,触发方式开关置于“自动”。开启电源开关后,调节“辉度”、“聚焦”、“辅助聚焦”等旋钮,使荧光屏上显示一条细而且亮度适中的扫描基线。然后调节“X轴位移”()和“Y 轴位移”( )旋钮,使扫描线位于屏幕中央,并且能上下左右移动自如。 2)测试“校正信号”波形的幅度、频率 将示波器的“校正信号”通过专用电缆线引入选定的Y通道(Y1或Y2),将Y轴输入耦合方式开关置于“AC”或“DC”,触发源选择开关置“内”,内触发源选择开关置“Y1”或“Y2”。调节X轴“扫描速率”开关(t/div)和Y轴“输入灵敏度”开关(V/div),使示波器显示屏上显示出一个或数个周期稳定的方波波形。 a. 校准“校正信号”幅度 将“y轴灵敏度微调”旋钮置“校准”位置,“y轴灵敏度”开关置适当位置,读取校正信号幅度,记入表1-1。 表1-1 注:不同型号示波器标准值有所不同,请按所使用示波器将标准值填入表格中。 b. 校准“校正信号”频率 将“扫速微调”旋钮置“校准”位置,“扫速”开关置适当位置,读取校正信号周期,记入表1-1。 c.测量“校正信号”的上升时间和下降时间 调节“y轴灵敏度”开关及微调旋钮,并移动波形,使方波波形在垂直方向上正好占据中心轴上,且上、下对称,便于阅读。通过扫速开关逐级提高扫描速度,使波形在X?轴方向扩展(必要时可以利用“扫速扩展”开关将波形再扩展10倍),并同时调节触发电平旋钮,从显示屏上清楚的读出上升时间和下降时间,记入表1-1。 2、用示波器和交流毫伏表测量信号参数 调节函数信号发生器有关旋钮,使输出频率分别为100Hz、1KHz、10KHz、100KHz,有效

《模拟电子技术实验》教学大纲

《模拟电子技术实验》教学大纲 课程中文名称(课程英文名称):模拟电子技术实验/Experiments of analog electron technology 一、课程编码:1021004006 二、课程目标和基本要求: 1、模拟电子技术实验是《模拟电子技术基础》课程的主要实践环节,是深化理论知识,培养实验技能,提高学生运用理论分析、解决实际问题的能力的重要教学和学习过程。 2、通过实验使学生充分认识到电子技术研究和发展的重要位置,以及它在物理学科应用中的重要意义。通过实验引导、启发学生解放思想、更新观念、摆正理论与实践的关系。 三、课程总学时: 30 学时(严格按教学计划时数)[理论: 0 学时;实验: 30 学时] 四、课程总学分: 1 学分(严格按教学计划学分) 五、适用专业和年级:物理教育学;2006级。 六、实验项目汇总表: 八、大纲内容:

实验一常用电子仪器的使用 [实验目的和要求] 1、学习电子电路实验中常用的电子仪器的主要技术指标、性能及正确使用方法。 2、初步掌握用双踪示波器观察正弦信号波形和读取波形参数的方法。 [实验内容] 1、示波器的检查与校准; 2、用示波器观察和测量交流电压及周期; 3、用示波器测量直流电压; 4、用示波器测量相位; 5、毫伏表与数字万用表交流电压测量的比较。 [主要实验仪器与器材] 1、SS-7802示波器一台; 2、EM1642信号发生器一台; 3、DF1701直流电源一台; 4、DF2170毫伏表一台; 5、UT56数字万用表一只。 实验二、晶体管元件的认识和测量 [实验目的和要求] 1、掌握用万用表鉴别晶体管的性能; 2、了解晶体管特性图示仪的简单原理及使用方法,用晶体管特性图示仪测量特性曲线和参数; 3、绘制小功率晶体管的特性曲线,并运用特性曲线求参数。 [实验内容] 1、用数字万用表鉴别晶体三极管的性能; 2、XJ4810晶体管特性图示仪测量晶体管的特性曲线和参数。 [主要实验仪器与器材] 1、XJ4810晶体管特性图示仪; 2、UT56数字万用表; 3、晶体三极管(3A X31、901 4、9015)、稳压管。

模拟电子技术实验报告

姓名:赵晓磊学号:1120130376 班级:02311301 科目:模拟电子技术实验B 实验二:EDA实验 一、实验目的 1.了解EDA技术的发展、应用概述。 2. 掌握Multisim 1 3.0 软件的使用,完成对电路图的仿真测试。 二、实验电路

三、试验软件与环境 Multisim 13.0 Windows 7 (x64) 四、实验内容与步骤 1.实验内容 了解元件工具箱中常用的器件的调用、参数选择。 调用各类仿真仪表,掌握各类仿真仪表控制面板的功能。 完成实验指导书中实验四两级放大电路实验(不带负反馈)。 2.实验步骤 测量两级放大电路静态工作点,要求调整后Uc1 = 10V。 测定空载和带载两种情况下的电压放大倍数,用示波器观察输入电压和输出电压的相位关系。 测输入电阻Ri,其中Rs = 2kΩ。 测输出电阻Ro。 测量两级放大电路的通频带。 五、实验结果 1. 两级放大电路静态工作点 断开us,Ui+端对地短路

2. 空载和带载两种情况下的电压放大倍数接入us,Rs = 0 带载: 负载: 经过比较,输入电压和输出电压同相。 3. 测输入电阻Ri Rs = 2kΩ,RL = ∞ Ui = 1.701mV

Ri = Ui/(Us-Ui)*Rs = 11.38kΩ 4. 测输出电阻Ro Rs = 0 RL = ∞,Uo’=979.3mV RL = 4.7kΩ,Uo = 716.7mV Ro = (Uo’/Uo - 1)*R = 1.72kΩ 5. 测量两级放大电路的通频带电路最大增益49.77dB 下限截止频率fL = 75.704Hz 上限截止频率fH = 54.483kHz 六、实验收获、体会与建议

大工15秋《模拟电子线路实验》实验报告参考答案

大工15秋《模拟电子线路实验》实验报告参考答案 实验一常用电子仪器的使用 一、实验目的 1、了解并掌握模拟电子技术实验箱的主要功能及使用方法。 3、学习并掌握TDS1002型数字存储示波器和信号源的基本操作方法 二、基本知识 1.简述模拟电子技术实验箱布线区的结构及导电机制。 答:模拟电子技术试验箱布线区:用来插接元件和导线,搭建实验电路。配有2只8脚集成电路插座和1只14脚集成电路插座。结构及导电机制:布线区面板以大焊孔为主,其周围以十字花小孔结构相结合,构成接点的连接形式,每个大焊孔与它周围的小孔都是相通的。 2.试述NEEL-03A型信号源的主要技术特性。 答:NEEL-03A型信号源的主要技术特性: ①输出波形:三角波、正弦波、方波、二脉、四脉、八脉、单次脉冲信号;②输出频率:10Hz~1MHz连续可调;

③幅值调节范围:0~10VP-P连续可调; ④波形衰减:20dB、40dB; ⑤带有6位数字频率计,既可作为信号源的输出监视仪表,也可以作外侧频率计用。 3.试述使用万用表时应注意的问题。 答:应注意使用万用表进行测量时,应先确定所需测量功能和量程。 确定量程的原则: ①若已知被测参数大致范围,所选量程应“大于被测值,且最接近被测值”。 ②如果被测参数的范围未知,则先选择所需功能的最大量程测量,根据初测结果逐步把量程下调到最接近于被测值的量程,以便测量出更加准确的数值。如屏幕显示“1”,表明已超过量程范围,须将量程开关转至相应档位上。2、了解并掌握数字万用表的主要功能及使用方法。 三、预习题 1.正弦交流信号的峰-峰值×峰值,峰值×有效值。 2.交流信号的周期和频率是什么关系?答:互为倒数,f=1/T,T=1/f

《模拟电子技术基础》教学大纲#

《模拟电子技术基础》教学大纲 Foundamentals of Analog Electronic Technology 、课程基本信息 二、课程教学目标 模拟电子技术是电类各专业的一门实践性较强的专业基础课。主要研究对象是半导体器件及其组成的各种基本单元电路和由基本单元电路组成的电子装置。单元电路包括分立元件单元电路和集成单元电路。 本课程的基本任务是使学生掌握模拟电子电路的基本工作原理、基本分析方法和基本应用技能使学生能够对各种由集成电路或(和)分立元件构成的基本电路单元进行分析和设计,并能够根据实际要求应用这些单元电路构成模拟电子系统的能力,为后续专业课程的学习奠定坚实的基础。课程内容先进,及时反映了本学科领域的最新科技成果。在课程教学中学生的能力培养始终将贯穿在整个教学过程中,通过学习该门课程使学生逐步提高获取知识的能力,逐步学会和掌握解决工程问题的思维 方法和研究方法。 三、教学基本要求 1器件方面: ①掌握常用的半导体器件的基本工作原理、特性和主要参数,并能合理选择和正确使用; ②了解模拟集成电路的电路结构和工作原理,掌握其主要性能和使用方法。 2、电路方面: ①掌握共射与共集放大器、差动放大器、基本运算放大器等电路结构、工作原理和性能; ②熟悉功率放大器、振荡器、整流器、稳压器以及由集成运算放大器组成的某些功能电路的组成、工作原理、性能和应用; ③熟悉放大器中的负反馈,振荡电路中的正反馈,掌握负反馈的基本形式及其对放大器性能的影响; ④了解阻容耦合放大器的频率响应。 3、分析方法方面 ①掌握放大电路的图解分析法,能确定放大电路的工作点,掌握微变等效电路分析法,能求放大 倍数、输入和输出电阻; ②能对放大电路单元进行近似估算。

数字电子技术实验指导书

数字电子技术实验指导书 (韶关学院自动化专业用) 自动化系 2014年1月10日 实验室:信工405

数字电子技术实验必读本实验指导书是根据本科教学大纲安排的,共计14学时。第一个实验为基础性实验,第二和第七个实验为设计性实验,其余为综合性实验。本实验采取一人一组,实验以班级为单位统一安排。 1.学生在每次实验前应认真预习,用自己的语言简要的写明实验目的、实验原理,编写预习报告,了解实验内容、仪器性能、使用方法以及注意事项等,同时画好必要的记录表格,以备实验时作原始记录。教师要检查学生的预习情况,未预习者不得进行实验。 2.学生上实验课不得迟到,对迟到者,教师可酌情停止其实验。 3.非本次实验用的仪器设备,未经老师许可不得任意动用。 4.实验时应听从教师指导。实验线路应简洁合理,线路接好后应反复检查,确认无误时才接通电源。 5.数据记录 记录实验的原始数据,实验期间当场提交。拒绝抄袭。 6.实验结束时,不要立即拆线,应先对实验记录进行仔细查阅,看看有无遗漏和错误,再提请指导教师查阅同意,然后才能拆线。 7.实验结束后,须将导线、仪器设备等整理好,恢复原位,并将原始数据填入正式表格中,经指导教师签名后,才能离开实验室。

目录实验1 TTL基本逻辑门功能测试 实验2 组合逻辑电路的设计 实验3 译码器及其应用 实验4 数码管显示电路及应用 实验5 数据选择器及其应用 实验6 同步时序逻辑电路分析 实验7 计数器及其应用

实验1 TTL基本逻辑门功能测试 一、实验目的 1、熟悉数字电路试验箱各部分电路的基本功能和使用方法 2、熟悉TTL集成逻辑门电路实验芯片的外形和引脚排列 3、掌握实验芯片门电路的逻辑功能 二、实验设备及材料 数字逻辑电路实验箱,集成芯片74LS00(四2输入与非门)、74LS04(六反相器)、74LS08(四2输入与门)、74LS10(三3输入与非门)、74LS20(二4输入与非门)和导线若干。 三、实验原理 1、数字电路基本逻辑单元的工作原理 数字电路工作过程是数字信号,而数字信号是一种在时间和数量上不连续的信号。 (1)反映事物逻辑关系的变量称为逻辑变量,通常用“0”和“1”两个基本符号表示两个对立的离散状态,反映电路上的高电平和低电平,称为二值信息。(2)数字电路中的二极管有导通和截止两种对立工作状态。三极管有饱和、截止两种对立的工作状态。它们都工作在开、关状态,分别用“1”和“0”来表示导通和断开的情况。 (3)在数字电路中,以逻辑代数作为数学工具,采用逻辑分析和设计的方法来研究电路输入状态和输出状态之间的逻辑关系,而不必关心具体的大小。 2、TTL集成与非门电路的逻辑功能的测试 TTL集成与非门是数字电路中广泛使用的一种逻辑门。实验采用二4输入与非门74LS20芯片,其内部有2个互相独立的与非门,每个与非门有4个输入端和1个输出端。74LS20芯片引脚排列和逻辑符号如图2-1所示。

模拟电子技术实验

实验一共射极单管放大电路的研究 1. 实验目的 (1)学会放大器静态工作点的调试方法,分析静态工作点对放大器性能的影响; (2)掌握放大器电压放大倍数、输入电阻、输出电阻及最大不失真输出电压的测试方法; (3)熟悉常用电子仪器及模拟电路实验设备的使用。 2. 实验设备与器材 实验所用设备与器材见表1.1。 表1.1 实验4.1的设备与器材 序号名称型号与规格数量备注 1 实验台1台 2 双踪示波器0~20M 1台 3 电子毫伏表1只 4 万用表1只 5 三极管1只 6 电阻1kΩ/0.25W 1只R e 7 电阻 2.4kΩ/0.25W 2只R S、R c、R L 8 电阻20kΩ/0.25W 1只R b1、R b2 9 电阻500kΩ/0.25W 1只R b2 10 铝电解电容10μF/25V 2只C1、C2 11 铝电解电容50μF/25V 1只C e 3. 实验电路与说明 实验电路如图1.1所示,为电阻分压式工作点稳定单管放大器实验电路图。它的偏置电路采用R B1和R B2组成的分压电路,并在发射极中接有电阻R E,以稳定放大器的静态工作点。当在放大器的输入端加入输入信号u i后,在放大器的输出端便可得到一个与u i相位相反,幅值被放大了的输出信号u0,从而实现了电压放大。安装电路时,要注意电解电容极性、直流电源正负极和信号源的极性。 图1.1 共射极单管放大器实验电路

I c/mA U ce/V u0波形失真情况管子工作状态 2.0 (5) 测量最大不失真输出电压的幅度 置R C=2.4kΩ,R L=2.4kΩ,调节信号发生器输出,使U s逐渐增大,用示波器观察输出信号的波形。直到输出波形刚要出现失真而没有出现失真时,停止增大U s,这时示波器所显示的正弦波电压幅度,就是放大电路的最大不失真输出电压幅度,将该值记录下来。然后继续增大U s,观察输出信号波形的失真情况。 5. 实验总结与分析 (1)用理论分析方法计算出电路的静态工作点,填入表1.2中,再与测量值进行比较,并分析误差的原因。 (2)通过电路的动态分析,计算出电路的电压放大倍数,包括不接负载时的A u、A us以及接上负载时的A u、A us。将计算结果填入表1.3中,再与测量值进行比较,并分析产生误差的原因。 (3)回答以下问题: ①放大电路所接负载电阻发生变化时,对电路的电压放大倍数有何影响? ②怎样用测量信号电压的方法来测量放大电路的输入电阻和输出电阻? (4)心得体会与其他。

数字电子技术实验报告

实验一组合逻辑电路设计与分析 1.实验目的 (1)学会组合逻辑电路的特点; (2)利用逻辑转换仪对组合逻辑电路进行分析与设计。 2.实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻输入信号的取值组合。根据电路确定功能,是分析组合逻辑电路的过程,一般按图1-1所示步骤进行分析。 图1-1 组合逻辑电路的分析步骤 根据要求求解电路,是设计组合逻辑电路的过程,一般按图1-2所示步骤进 行设计。 图1-2 组合逻辑电路的设计步骤 3.实验电路及步骤 (1)利用逻辑转换仪对已知逻辑电路进行分析。 a.按图1-3所示连接电路。 b.在逻辑转换仪面板上单击由逻辑电路转换为真值表的按钮和由真值表导出 简化表达式后,得到如图1-4所示结果。观察真值表,我们发现:当四个输入变量A,B,C,D中1的个数为奇数时,输出为0,而当四个输入变量A,B,C,D 中1的个数为偶数时,输出为1。因此这是一个四位输入信号的奇偶校验电路。

(2)根据要求利用逻辑转换仪进行逻辑电路的设计。 a.问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火 灾探测器。为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。 b.在逻辑转换仪面板上根据下列分析出真值表如图1-5所示:由于探测器发出 的火灾探测信号也只有两种可能,一种是高电平(1),表示有火灾报警;一种是低电平(0),表示正常无火灾报警。因此,令A、B、C分别表示烟感、温感、紫外线三种探测器的探测输出信号,为报警控制电路的输入、令F 为报警控制电路的输出。 图1-4 经分析得到的真值表和表达式

模拟电子技术标准实验报告

实验一常用电子仪器的使用 一、实验目的: 1、熟悉交流毫伏表、低频信号发生器,双踪示波器主要技术性能和面板开关、旋钮的名称和作用。 2、学会上述仪器的正确使用。 3、初步掌握用示波器观察,测量正弦信号的波形参数及计算方法。 二、实验原理: 在电子电路测试和实验中,常用的电子仪器有交流毫伏表,低频信号发生器,双踪示波器,直流稳压电源以及其它仪器,它们与被测(实验)电路的关系,如图2-1.1所示。 图2-1.1 常用电子仪器接线框图 在电子测量中,应特别注意各仪器的“共地”问题,即各台仪器与被测电路的“地”应可靠地连接在一起。合理的接地是抑制干扰的重要措施之一,否则,可能引入外来干扰,导致参数不稳定,测量误差增大。 模电实验室的常用仪器: YJ—44型直流稳压电源;SX2172型交流毫伏表; XD1B型低频信号发生器;SS-5702型双踪示波器; *BS1A型失真度测量仪。 三、实验内容 1、用交流毫伏表测量低频信号发生器的输出(衰减)电压。将信号发生器频率调节在1KHz。电压“输出衰减”开关分别置于不同的衰减db位置上,调节信号发生器的“幅度”使电表指示在4V,用交流毫伏表测量其输出电压值。 1

2、用双踪示波器Y轴任一输入通道探头,测量示波器“校正电压”读出荧屏显示波形的U P-P 值和频率?。 3、用交流毫伏表及双踪示波器测量低频信号发生器或稳压电源的输出电压及周期的数值。记入表2-1.2。 四、思考题: 1、示波器荧光屏上的波形不断移动不能稳定,试分析其原因。调节哪些旋钮才能使波形稳定不变。 答:用示波器观察信号波形,只有当示波器内部的触发信号与所测信号同步时,才能在荧光屏上观察到稳定的波形。若荧光屏上的波形不断移动不能稳定,说明触发信号与所测信号不同步,即扫描信号(X轴)频率和被测信号(Y轴)频率不成整数倍的关系(?x≠n?y),从而使每一周期的X、Y轴信号的起扫时间不能固定,因而会使荧光屏上显示的波形不断的移动。此时,应首先检查“触发源”开关(SOURCE)是否与Y轴方式同步(与信号输入通道保持一致);然后调节“触发电平”(LEVEL),直至荧光屏上的信号稳定。 2、在测量中交流毫伏表和示波器荧光屏测同一输入电压时,为什么数据不同?测量直流电压可否用交流毫伏表,为什么? 答:交流毫伏表和示波器荧光屏测同一输入电压时数据不同是因为交流毫伏表的读数为正弦信号的有效值,而示波器荧光屏所显示的是信号的峰峰值。 不能用交流毫伏表测量直流电压。因为交流毫伏表的检波方式是交流有效值检波,刻度值是以正弦信号有效值进行标度的,所以不能用交流毫伏表测量直流电压。 2

相关主题
文本预览
相关文档 最新文档