当前位置:文档之家› 数电各章复习题及答案

数电各章复习题及答案

数电各章复习题及答案
数电各章复习题及答案

第1章逻辑代数基础

一、选择题(多选题)

1.以下代码中为无权码的为。

A. 8421BCD码

B. 5421BCD码

C. 余三码

D. 格雷码

2.一位十六进制数可以用位二进制数来表示。

A. 1

B. 2

C. 4

D. 16

3.十进制数25用8421BCD码表示为。

A.10 101

B.0010 0101

C.100101

D.10101

4.与十进制数(53.5)10等值的数或代码为。

A.(0101 0011.0101)8421BCD

B.(35.8)16

C.(110101.1)2

D.(65.4)8

5.与八进制数(47.3)8等值的数为:

A. (100111.011)2

B.(27.6)16

C.(27.3 )16

D. (100111.11)2

6.常用的B C D码有。

A.奇偶校验码

B.格雷码

C.8421码

D.余三码

7.与模拟电路相比,数字电路主要的优点有。

A.容易设计

B.通用性强

C.保密性好

D.抗干扰能力强

8. 逻辑变量的取值1和0可以表示:。

A.开关的闭合、断开

B.电位的高、低

C.真与假

D.电流的有、无

9.求一个逻辑函数F的对偶式,可将F中的。

A .“·”换成“+”,“+”换成“·”

B.原变量换成反变量,反变量换成原变量

C.变量不变

D.常数中“0”换成“1”,“1”换成“0”

E.常数不变

10. A+BC= 。

A .A+

B B.A+

C C.(A+B)(A+C) D.B+C

11.在何种输入情况下,“与非”运算的结果是逻辑0。

A.全部输入是0 B.任一输入是0 C.仅一输入是0 D.全部输入是1

12.在何种输入情况下,“或非”运算的结果是逻辑0。

A.全部输入是0 B.全部输入是1 C.任一输入为0,其他输入为1 D.任一输入为1

13.以下表达式中符合逻辑运算法则的是。

A.C·C=C2

B.1+1=10

C.0<1

D.A+1=1

14. 当逻辑函数有n个变量时,共有个变量取值组合?

A. n

B. 2n

C. n2

D. 2n

15. 逻辑函数的表示方法中具有唯一性的是。

A .真值表 B.表达式 C.逻辑图 D.卡诺图

16.F=A B+BD+CDE+A D= 。

A.D

A+ B.D

B

(D

)(

+ D.)

B

A+

D

+

(D

A+

B

(+ C.)

A)

D

B

)(

17.逻辑函数F=)

⊕ = 。

A⊕

A

(B

A.B

B.A

C.B

A⊕

A⊕ D.B

二、判断题(正确打√,错误的打×)

1. 8421码1001比0001大。()

2. 数字电路中用“1”和“0”分别表示两种状态,二者无大小之分。()

3.格雷码具有任何相邻码只有一位码元不同的特性。()

4.八进制数(18)8比十进制数(18)10小。()

5.当传送十进制数5时,在8421奇校验码的校验位上值应为1。()6.在时间和幅度上都断续变化的信号是数字信号,语音信号不是数字信号。()

7.十进制数(9)10比十六进制数(9)16小。()

8.当8421奇校验码在传送十进制数(8)10时,在校验位上出现了1时,表明在传送过程中出现了错误。()

9.若两个函数具有不同的真值表,则两个逻辑函数必然不相等。()

10.若两个函数具有不同的逻辑函数式,则两个逻辑函数必然不相等。()

11.逻辑函数Y=A B+A B+B C+B C已是最简与或表达式。()

12.因为逻辑表达式A B+A B +AB=A+B+AB成立,所以A B+A B= A+B成立。()

13.对逻辑函数Y=A B+A B+B C+B C利用代入规则,令A=BC代入,得

Y= BC B+BC B+B C+B C=B C+B C成立。()

三、填空题

1. 数字信号的特点是在上和上都是断续变化的,其高电平和低电平常用和来表示。

2. 分析数字电路的主要工具是,数字电路又称作。

3. 在数字电路中,常用的计数制除十进制外,还有、、。

4. 常用的BCD码有、、、等。常用的可靠性代码有、等。

5.(10110010.1011)2=( )8=( )16

6. ( 35.4)8 =()2 =( )10=( )16=( )8421BCD

7. (39.75 )10=()2=( )8=( )16

8. ( 5E.C)16=()2=( )8=( )10= ( )8421BCD

9. ( 0111 1000)8421BCD =()2=( )8=( )10=( )16

10. 逻辑代数又称为代数。最基本的逻辑关系有、、三种。常用的几种导出的逻辑运算为、、、、。

11. 逻辑代数中与普通代数相似的定律有、、。摩根定律又称为。

12. 逻辑代数的三个重要规则是、、。

13.逻辑函数F=A+B+C D的反函数F= 。

14.逻辑函数F=A(B+C)·1的对偶函数是。

15.添加项公式AB+A C+BC=AB+A C的对偶式为。

16. 逻辑函数的常用表示方法有、、。

17.逻辑函数F=A B C D+A+B+C+D= 。

18.逻辑函数F=AB

A+

+

B

+= 。

A

B

B

A

19.已知函数的对偶式为B A +BC D C ,则它的原函数为 。

四、思考题

1. 在数字系统中为什么要采用二进制?

2. 格雷码的特点是什么?为什么说它是可靠性代码?

3. 奇偶校验码的特点是什么?为什么说它是可靠性代码?

4. 逻辑代数与普通代数有何异同?

5. 为什么说逻辑等式都可以用真值表证明?

6. 对偶规则有什么用处?

7. 逻辑函数的三种表示方法如何相互转换? 8. 见如右Y 的卡诺图,写出最简与或表达式。 第2章 门电路

一、选择题(多选题)

1. 三态门输出高阻状态时, 是正确的说法。

A.用电压表测量指针不动

B.相当于悬空

C.电压不高不低

D.测量电阻指针不动

2. 以下电路中可以实现“线与”功能的有 。

A.与非门

B.三态输出门

C.集电极开路门

D.漏极开路门

3.以下电路中常用于总线应用的有 。

A.T S L 门

B.O C 门

C. 漏极开路门

D.C M O S 与非门

4.逻辑表达式Y =A B 可以用 实现。

A.正或门

B.正非门

C.正与门

D.负或门 5.T T L 电路在正逻辑系统中,以下各种输入中 相当于输入逻辑“1”。

A.悬空

B.通过电阻 2.7k Ω接电源

C.通过电阻 2.7k Ω接地

D.通过电阻510Ω接地

6.对于T T L 与非门闲置输入端的处理,可以 。

A.接电源

B.通过电阻3k Ω接电源

C.接地

D.与有用输入端并联

7.三极管作为开关使用时,要提高开关速度,可 。

A.降低饱和深度

B.增加饱和深度

C.采用有源泄放回路

D.采用抗饱和三极管

8.C M O S 数字集成电路与T T L 数字集成电路相比突出的优点是 。

A.微功耗

B.高速度

C.高抗干扰能力

D.电源范围宽

CD

AB

00 01 11 10 00

0 1 0 1 01

1 ╳ 1 ╳ 11 0 1 0 1 10 0 1 0 1

1.TTL 与非门的多余输入端可以接固定高电平。( )

2. 当TTL 与非门的输入端悬空时相当于输入为逻辑1。( )

3.普通的逻辑门电路的输出端不可以并联在一起,否则可能会损坏器件。( )

4.两输入端四与非门器件74LS00与7400的逻辑功能完全相同。( )

5.CMOS 或非门与TTL 或非门的逻辑功能完全相同。( )

6.三态门的三种状态分别为:高电平、低电平、不高不低的电压。( )

7.TTL 集电极开路门输出为1时由外接电源和电阻提供输出电流。( )

8.一般TTL 门电路的输出端可以直接相连,实现线与。( )

9.CMOS OD 门(漏极开路门)的输出端可以直接相连,实现线与。( )

10.TTL OC 门(集电极开路门)的输出端可以直接相连,实现线与。( )

三、填空题

1. 集电极开路门的英文缩写为 门,工作时必须外加 和 。

2.O C 门称为 门,多个O C 门输出端并联到一起可实现 功能。

3.T T L 与非门电压传输特性曲线分为 区、 区、 区、 区。

第3章 组合逻辑电路

四、选择题(多选题)

1.下列表达式中不存在竞争冒险的有 。

A.Y =B +A B

B.Y =A B +B C

C.Y =A B C +A B

D.Y =(A +B )A D

2.若在编码器中有50个编码对象,则要求输出二进制代码位数为 位。

A.5

B.6

C.10

D.50

3.一个16选一的数据选择器,其地址输入(选择控制输入)端有 个。

A.1

B.2

C.4

D.16

4.下列各函数等式中无冒险现象的函数式有 。

A.B A AC C B F ++=

B.B A BC C A F ++=

C.B A B A BC C A F +++=

D.C A B A BC B A AC C B F +++++=

E.B A B A AC C B F +++=

5.函数C B AB C A F ++=,当变量的取值为 时,将出现冒险现象。

A.B =C =1

B.B =C =0

C.A =1,C =0

D.A =0,B =0

6.四选一数据选择器的数据输出Y 与数据输入X i 和地址码A i 之间的逻辑

表达式为Y = 。

A.3X A A X A A X A A X A A 01201101001+++

B.001X A A

C.101X A A

D.3X A A 01

7.一个8选一数据选择器的数据输入端有 个。

A.1

B.2

C.3

D.4

E.8

8.在下列逻辑电路中,不是组合逻辑电路的有 。

A.译码器

B.编码器

C.全加器

D.寄存器

9.八路数据分配器,其地址输入端有 个。

A.1

B.2

C.3

D.4

E.8

10.组合逻辑电路消除竞争冒险的方法有 。

A. 修改逻辑设计

B.在输出端接入滤波电容

C.后级加缓冲电路

D.屏蔽输入信号的尖峰干扰

11.101键盘的编码器输出 位二进制代码。

A.2

B.6

C.7

D.8 12.用三线-八线译码器74L S 138实现原码输出的8路数据分配器,应 。

A.A ST =1,B ST =D ,C ST =0

B. A ST =1,B ST =D ,C ST =D

C.A ST =1,B ST =0,C ST =D

D. A ST =D ,B ST =0,C ST =0

13.以下电路中,加以适当辅助门电路, 适于实现单输出组合逻辑电路。

A.二进制译码器

B.数据选择器

C.数值比较器

D.七段显示译码器

14.用四选一数据选择器实现函数Y =0101A A A A +,应使 。

A.D 0=D 2=0,D 1=D 3=1

B.D 0=D 2=1,D 1=D 3=0

C.D 0=D 1=0,D 2=D 3=1

D.D 0=D 1=1,D 2=D 3=0 15.用三线-八线译码器74L S 138和辅助门电路实现逻辑函数Y =122A A A +,应 。

A.用与非门,Y =765410Y Y Y Y Y Y

B.用与门,Y =32Y Y

C.用或门,Y =32Y Y +

D.用或门,Y =7

65410Y Y Y Y Y Y +++++

五、判断题(正确打√,错误的打×)

1. 优先编码器的编码信号是相互排斥的,不允许多个编码信号同时有效。( )

2. 编码与译码是互逆的过程。( )

3. 二进制译码器相当于是一个最小项发生器,便于实现组合逻辑电路。( )

4. 液晶显示器的优点是功耗极小、工作电压低。( )

5. 液晶显示器可以在完全黑暗的工作环境中使用。( )

6. 半导体数码显示器的工作电流大,约10mA 左右,因此,需要考虑电流驱动能力问题。( )

7. 共阴接法发光二极管数码显示器需选用有效输出为高电平的七段显示译码器来驱动。( )

8. 数据选择器和数据分配器的功能正好相反,互为逆过程。( )

9.用数据选择器可实现时序逻辑电路。()

10.组合逻辑电路中产生竞争冒险的主要原因是输入信号受到尖峰干扰。()

六、填空题

1.半导体数码显示器的内部接法有两种形式:共接法和共接法。

2.对于共阳接法的发光二极管数码显示器,应采用电平驱动的七段显示译码器。

3.消除竟争冒险的方法有、、等。第4章触发器

七、选择题(多选题)

1.N个触发器可以构成能寄存位二进制数码的寄存器。

A.N-1

B.N

C.N+1

D.2N

2.在下列触发器中,有约束条件的是。

A.主从J K F/F

B.主从D F/F

C.同步R S F/F

D.边沿D F/F

3.一个触发器可记录一位二进制代码,它有个稳态。

A.0

B.1

C.2

D.3

E.4

4.存储8位二进制信息要个触发器。

A.2

B.3

C.4

D.8

5.对于T触发器,若原态Q n=0,欲使新态Q n+1=1,应使输入T=。

A.0

B.1

C.Q

D.Q

6.对于T触发器,若原态Q n=1,欲使新态Q n+1=1,应使输入T=。

A.0

B.1

C.Q

D.Q

7.对于D触发器,欲使Q n+1=Q n,应使输入D=。

A.0

B.1

C.Q

D.Q

8.对于J K触发器,若J=K,则可完成触发器的逻辑功能。

A.R S

B.D

C.T

D.Tˊ

9.欲使J K触发器按Q n+1=Q n工作,可使J K触发器的输入端。

A.J=K=0

B.J=Q,K=Q

C.J=Q,K=Q

D.J=Q,K=0

E.J=0,K=Q

10.欲使J K触发器按Q n+1=Q n工作,可使J K触发器的输入端。

A.J=K=1

B.J=Q,K=Q

C.J=Q,K=Q

D.J=Q,K=1

E.J=1,K=Q

11.欲使J K触发器按Q n+1=0工作,可使J K触发器的输入端。

A.J=K=1

B.J=Q,K=Q

C.J=Q,K=1

D.J=0,K=1

E.J=K=1

12.欲使J K触发器按Q n+1=1工作,可使J K触发器的输入端。

A.J=K=1

B.J=1,K=0

C.J=K=Q

D.J=K=0

E.J=Q,K=0

13.欲使D触发器按Q n+1=Q n工作,应使输入D=。

A.0

B.1

C.Q

D.Q

14.下列触发器中,克服了空翻现象的有。

A.边沿D触发器

B.主从R S触发器

C.同步R S触发器

D.主从J K触发器

15.下列触发器中,没有约束条件的是。

A.基本R S触发器

B.主从R S触发器

C.同步R S触发器

D.边沿D触

发器

16.描述触发器的逻辑功能的方法有。

A.状态转换真值表

B.特性方程

C.状态转换图

D.状态转换卡诺图

17.为实现将J K触发器转换为D触发器,应使。

A.J=D,K=D

B.K=D,J=D

C.J=K=D

D.J=K=D

18.边沿式D触发器是一种稳态电路。

A.无

B.单

C.双

D.多

八、判断题(正确打√,错误的打×)

1. D触发器的特性方程为Q n+1=D,与Q n无关,所以它没有记忆功能。()2. R S触发器的约束条件R S=0表示不允许出现R=S=1的输入。()

3.同步触发器存在空翻现象,而边沿触发器和主从触发器克服了空翻。

()

4.主从J K触发器、边沿J K触发器和同步J K触发器的逻辑功能完全相同。

()

5.若要实现一个可暂停的一位二进制计数器,控制信号A=0计数,A=1保持,可选用T触发器,且令T=A。()

6.由两个T T L或非门构成的基本R S触发器,当R=S=0时,触发器的状态为不定。

7.对边沿J K触发器,在C P为高电平期间,当J=K=1时,状态会翻转一次。

()

九、填空题

1.触发器有个稳态,存储8位二进制信息要个触发器。

2.一个基本R S触发器在正常工作时,它的约束条件是R+S=1,则它不允许输入S=且R=的信号。

3.触发器有两个互补的输出端Q、Q,定义触发器的1状态为,0状态为,可见触发器的状态指的是端的状态。4.一个基本R S触发器在正常工作时,不允许输入R=S=1的信号,因此它的约束条件是。

5.在一个C P脉冲作用下,引起触发器两次或多次翻转的现象称为触发器的,触发方式为式或式的触发器不会出现这种现象。

第5章时序逻辑电路

十、选择题(多选题)

1.同步计数器和异步计数器比较,同步计数器的显著优点是。

A.工作速度高

B.触发器利用率高

C.电路简单

D.不受时钟C P控制。2.把一个五进制计数器与一个四进制计数器串联可得到进制计数器。

A.4

B.5

C.9

D.20

3.下列逻辑电路中为时序逻辑电路的是。

A.变量译码器

B.加法器

C.数码寄存器

D.数据选择器

4.N个触发器可以构成最大计数长度(进制数)为的计数器。

A.N

B.2N

C.N2

D.2N

5.N个触发器可以构成能寄存位二进制数码的寄存器。

A.N-1

B.N

C.N+1

D.2N

6.五个D触发器构成环形计数器,其计数长度为。

A.5

B.10

C.25

D.32

7.同步时序电路和异步时序电路比较,其差异在于后者。

A.没有触发器

B.没有统一的时钟脉冲控制

C.没有稳定状态

D.输出只与内部状态有关

8.一位8421B C D码计数器至少需要个触发器。

A.3

B.4

C.5

D.10

9.欲设计0,1,2,3,4,5,6,7这几个数的计数器,如果设计合理,采

用同步二进制计数器,最少应使用级触发器。

10.8位移位寄存器,串行输入时经 个脉冲后,8位数码全部移入寄存器中。

A.1

B.2

C.4

D.8

11.用二进制异步计数器从0做加法,计到十进制数178,则最少需要 个

触发器。

A.2

B.6

C.7

D.8

E.10

12.某电视机水平-垂直扫描发生器需要一个分频器将31500H Z 的脉冲转换

为60H Z 的脉冲,欲构成此分频器至少需要 个触发器。

A.10

B.60

C.525

D.31500

13.某移位寄存器的时钟脉冲频率为100K H Z ,欲将存放在该寄存器中的数左

移8位,完成该操作需要 时间。

A.10μS

B.80μS

C.100μS

D.800m s

14.若用J K 触发器来实现特性方程为AB Q A Q n 1n +=+,则J K 端的方程为 。

A.J =A B ,K =B A +

B.J =A B ,K =B A

C.J =B A +,K =A B

D.J =B A ,K =A B

15.要产生10个顺序脉冲,若用四位双向移位寄存器CT74LS194来实现,需要 片。

A.3

B.4

C.5

D.10

16.若要设计一个脉冲序列为1101001110的序列脉冲发生器,应选用 个触发器。

A.2

B.3

C.4

D.10

十一、 判断题(正确打√,错误的打×)

1.同步时序电路由组合电路和存储器两部分组成。( )

2.组合电路不含有记忆功能的器件。( )

3.时序电路不含有记忆功能的器件。( )

4.同步时序电路具有统一的时钟CP 控制。( )

5.异步时序电路的各级触发器类型不同。( )

6.环形计数器在每个时钟脉冲CP 作用时,仅有一位触发器发生状态更新。( )

7.环形计数器如果不作自启动修改,则总有孤立状态存在。( )

8.计数器的模是指构成计数器的触发器的个数。( )

9.计数器的模是指对输入的计数脉冲的个数。( )

10.D 触发器的特征方程Q n +1=D ,而与Q n 无关,所以,D 触发器不是时序电路。( )

11.在同步时序电路的设计中,若最简状态表中的状态数为2N ,而又是用N

级触发器来实现其电路,则不需检查电路的自启动性。( )

12.把一个5进制计数器与一个10进制计数器串联可得到15进制计数器。()

13.同步二进制计数器的电路比异步二进制计数器复杂,所以实际应用中较少使用同步二进制计数器。()

14.利用反馈归零法获得N进制计数器时,若为异步置零方式,则状态S N 只是短暂的过渡状态,不能稳定而是立刻变为0状态。()

十二、填空题

1.寄存器按照功能不同可分为两类:寄存器和寄存器。2.数字电路按照是否有记忆功能通常可分为两类:、。3.由四位移位寄存器构成的顺序脉冲发生器可产生个顺序脉冲。4.时序逻辑电路按照其触发器是否有统一的时钟控制分为时序电路和时序电路。

第6章存储器与可编程逻辑器件

十三、选择题(多选题)

1.PROM和PAL的结构是。

A.P R O M的与阵列固定,不可编程

B.P R O M与阵列、或阵列均不可编程

C.P A L与阵列、或阵列均可编程

D.P A L的与阵列可编程

2.当用专用输出结构的P A L设计时序逻辑电路时,必须还要具备有。

A.触发器

B.晶体管

C.M O S管

D.电容

3.当用异步I/O输出结构的P A L设计逻辑电路时,它们相当于。

A.组合逻辑电路

B.时序逻辑电路

C.存储器

D.数模转换器

4.P L D器件的基本结构组成有。

A.与阵列

B.或阵列

C.输入缓冲电路

D.输出电路

5.P L D器件的主要优点有。

A.便于仿真测试

B.集成密度高

C.可硬件加密

D.可改写

6.G A L的输出电路是。

A.O L M C

B.固定的

C.只可一次编程

D.可重复编程

7.P L D开发系统需要有。

A.计算机

B.编程器

C.开发软件

D.操作系统

8.只可进行一次编程的可编程器件有。

A.P A L

B.G A L

C.P R O M

D.P L D

A.P A L

B.G A L

C.P R O M

D.I S P-P L D

10.I S P-P L D器件开发系统的组成有。

A.计算机

B.编程器

C.开发软件

D.编程电缆

11.全场可编程(与、或阵列皆可编程)的可编程逻辑器件有。

A.P A L

B.G A L

C.P R O M

D.P L A

12.随机存取存储器具有功能。

A.读/写

B.无读/写

C.只读

D.只写

13.只读存储器R O M中的内容,当电源断掉后又接通,存储器中的内容。

A.全部改变

B.全部为0

C.不可预料

D.保持不变

十四、判断题(正确打√,错误的打×)

1.P ROM不仅可以读,也可以写(编程),则它的功能与RAM相同。()

2.P AL的每个与项都一定是最小项。()

3.P AL和GAL都是与阵列可编程、或阵列固定。()

4.P AL可重复编程。()

5.P AL的输出电路是固定的,不可编程,所以它的型号很多。()

6.G AL的型号虽然很少,但却能取代大多数PAL芯片。()

7.A BEL语言是一种通用的硬件描述语言(HDL),用于PLD的开发。()

8.G AL不需专用编程器就可以对它进行反复编程。()

9.在系统可编程逻辑器件ISP-PLD不需编程器就可以高速而反复地编程,则它与RAM随机存取存储器的功能相同。()

10.P L A是全场可编程(与、或阵列皆可编程)的可编程逻辑器件,功能强大,便于使用,因此被普遍使用。()

第7章数模和模数转换

十五、选择题(多选题)

1.一个无符号8位数字量输入的DAC,其分辨率为位。

A.1

B.3

C.4

D.8

2.一个无符号10位数字输入的D A C,其输出电平的级数为。

A.4

B.10

C.1024

D.210

3.一个无符号4位权电阻D A C,最低位处的电阻为40KΩ,则最高位处电阻为。

A.4KΩ

B.5KΩ

C.10KΩ

D.20KΩ

A.1

B.2

C.4

D.8

5.为使采样输出信号不失真地代表输入模拟信号,采样频率f s 和输入模拟

信号的最高频率f ax Im 的关系是 。

A. f s ≥f ax Im

B. f s ≤f ax Im

C. f s ≥2f ax Im

D. f s ≤2f ax Im

6.将一个时间上连续变化的模拟量转换为时间上断续(离散)的模拟量的

过程称为 。

A.采样

B.量化

C.保持

D.编码

7.用二进制码表示指定离散电平的过程称为 。

A.采样

B.量化

C.保持

D.编码

8.将幅值上、时间上离散的阶梯电平统一归并到最邻近的指定电平的过程

称为 。

A.采样

B.量化

C.保持

D.编码

9.若某A D C 取量化单位△=81REF V ,并规定对于输入电压I u ,在0≤I u <8

1REF V 时,认为输入的模拟电压为0V ,输出的二进制数为000,则

85REF V ≤I u <86REF V 时,输出的二进制数为 。

A.001

B.101

C.110

D.111

10.以下四种转换器, 是A /D 转换器且转换速度最高。

A.并联比较型

B.逐次逼近型

C.双积分型

D.施密特触发器

十六、 判断题(正确打√,错误的打×)

1. 权电阻网络D/A 转换器的电路简单且便于集成工艺制造,因此被广泛使用。( ) 2. D/A 转换器的最大输出电压的绝对值可达到基准电压VREF 。( )

3. D/A 转换器的位数越多,能够分辨的最小输出电压变化量就越小。( )

4. D/A 转换器的位数越多,转换精度越高。( )

5. A/D 转换器的二进制数的位数越多,量化单位△越小。( )

6. A/D 转换过程中,必然会出现量化误差。( )

7. A/D 转换器的二进制数的位数越多,量化级分得越多,量化误差就可以减小到0。( ) 8. 一个N 位逐次逼近型A/D 转换器完成一次转换要进行N 次比较,需要N+2个时钟脉冲。( ) 9. 双积分型A/D 转换器的转换精度高、抗干扰能力强,因此常用于数字式仪表中。( ) 10. 采样定理的规定,是为了能不失真地恢复原模拟信号,而又不使电路过于复杂。( )

1.将模拟信号转换为数字信号,需要经过、、、四个过程。第8章脉冲波形的产生与整形

十八、选择题(多选题)

1.脉冲整形电路有。

A.多谐振荡器

B.单稳态触发器

C.施密特触发器

D.555定时器

2.多谐振荡器可产生。

A.正弦波

B.矩形脉冲

C.三角波

D.锯齿波

3.石英晶体多谐振荡器的突出优点是。

A.速度高

B.电路简单

C.振荡频率稳定

D.输出波形边沿陡

4.T T L单定时器型号的最后几位数字为。

A.555

B.556

C.7555

D.7556

5.555定时器可以组成。

A.多谐振荡器

B.单稳态触发器

C.施密特触发器

D.J K触发器

6.用555定时器组成施密特触发器,当输入控制端C O外接10V电压时,回差电压为。

A.3.33V

B.5V

C.6.66V

D.10V

7.以下各电路中,可以产生脉冲定时。

A.多谐振荡器

B.单稳态触发器

C.施密特触发器

D.石英晶体多谐振

荡器

十九、判断题(正确打√,错误的打×)

1.施密特触发器可用于将三角波变换成正弦波。()

2.施密特触发器有两个稳态。()

3.多谐振荡器的输出信号的周期与阻容元件的参数成正比。()

4.石英晶体多谐振荡器的振荡频率与电路中的R、C成正比。()

5.单稳态触发器的暂稳态时间与输入触发脉冲宽度成正比。()

6.单稳态触发器的暂稳态维持时间用t W表示,与电路中R C成正比。()7.采用不可重触发单稳态触发器时,若在触发器进入暂稳态期间再次受到触发,输出脉宽可在此前暂稳态时间的基础上再展宽t W。()

8.施密特触发器的正向阈值电压一定大于负向阈值电压。()

1.555定时器的最后数码为555的是产品,为7555的是产品。

2.施密特触发器具有现象,又称特性;单稳触发器最重

要的参数为。

3.常见的脉冲产生电路有,常见的脉冲整形电路

有、。

4.为了实现高的频率稳定度,常采用振荡器;单稳态触发器受到外触发时进入态。

第1章逻辑代数基础答案

一、选择题(多选题)

1.CD 2.C 3.B 4.ABCD 5.AB

6.CD 7.BCD 8. ABCD 9. ACD 10. C

11. D 12. BCD 13. D 14.D 15. AD

16. AC 17. A

二、判断题

1.×

2.√

3.√

4.×

5.√

6.√

7.×

8.√

9.√10.× 11.× 12.× 13.×

三、填空题

1.时间、幅值、1、0

2.逻辑代数、逻辑电路

3.二进制、八进制、十六进制

4.8421BCD码、2421BCD码、5421BCD码、余三码、格雷码、奇偶校验码

5.262.54 B2.B

6.11101.1 29.5 1D.8 (0010 1001.0101)

7.100111.11 47.6 27.C

8.1011110.11 136.6 94.75 (1001 0100.0111 0101)

9.1001110 116 78 4E

10.布尔与或非与非或非与或非同或异或

11.交换律分配律结合律反演定律

12.代入规则对偶规则反演规则

13.A B(C+D)

15.(A+B )(A +C )(B+C )=(A+B )(A +C )

16.逻辑表达式 真值表 逻辑图 17.1 18.0 19.)()(C B D C B A +?+?+

四、思考题

1.因为数字信号有在时间和幅值上离散的特点,它正好可以用二进制的1和0来表示两种不同的状态。

2.格雷码的任意两组相邻代码之间只有一位不同,其余各位都相同,它是一种循环码。这个特性使它在形成和传输过程中可能引起的错误较少,因此称之为可靠性代码。

3.奇偶校验码可校验二进制信息在传送过程中1的个数为奇数还是偶数,从而发现可能出现的错误。

4.都有输入、输出变量,都有运算符号,且有形式上相似的某些定理,但逻辑代数的取值只能有

0和1两种,而普通代数不限,且运算符号所代表的意义不同。

5.因为真值表具有唯一性。

6.可使公式的推导和记忆减少一半,有时可利于将或与表达式化简。

7. 通常从真值表容易写出标准最小项表达式,从逻辑图易于逐级推导得逻辑表达式,从与或表达式或最小项表达式易于列出真值表。

8. D C D C B A Y ++=

第2章 门电路答案

一、选择题(多选题)

1. ABD 2.CD 3.A 4.CD 5.ABC 6.ABD 7.ACD 8.ACD

二、判断题

1.√ 2.√ 3.√ 4.√ 5.√ 6.× 7.√ 8.× 9.√ 10.√

三、填空题

1.OC 电源 负载

2.集电极开路门 线与

3.饱和区 转折区 线性区 截止区

第3章 组合逻辑电路答案

一、选择题(多选题)

1.CD 2.B 3.C 4.D 5.ACD 6.A 7.E 8.D 9.C 10.AB 11.C 12.ABC 13.AB

14.A 15.AB

二、判断题

1.× 2.√ 3.√ 4.√ 5.× 6.√ 7.√ 8.√ 9.× 10.×

三、填空题

1. 阴 阳

2. 低电平

3. 修改逻辑设计 接入滤波电容 加选通脉冲

第4章触发器答案

一、选择题(多选题)

1.B

2.C

3.C

4.D

5.BD

6.AD

7.C

8.C

9.ABDE

10.ACDE

11.BCD

12.BCE

13. D

14.ABD

15. D

16.ABCD

17. A

18. C

二、判断题

1.×

2.√

3.√

4.√

5.×

6.×

7.×

三、填空题

1.2 8

2.0 0

3.Q=1、Q=0Q=0、Q=1Q

4.R S=0

5.空翻主从式边沿式

第5章时序逻辑电路答案

四、选择题(多选题)

1.A

2.D

3.C

4.D

5.B

6.A

7.B

10. D

11. D

12. A

13. B

14.AB

15. A

16. C

五、判断题

1.√

2.√

3.√

4.√

5.×

6.×

7.√

8.×

9.× 10.× 11.√ 12.×

13.× 14.√

六、填空题

1.移位数码

2.组合逻辑电路时序逻辑电路

3.4

4.同步异步

第6章存储器与可编程逻辑器件答案

七、选择题

1.AD

2.A

3.A

4.ABCD

5.ABCD

6.AD

7.ABCD

8.AC

9.BD

10.ACD

11. D

12. A

13. D

八、判断题

1.×

2.×

3.√

4.×

5. √

6.√

7.√

8.×

9.× 10.×

第7章数模和模数转换答案

九、选择题(多选题)

1.D

2.CD

3.B

6.A

7.D

8.B

9.B

10. A

十、判断题

1.×

2.×

3.√

4.√

5.√

6.√

7.×

8.√

9.√ 10.√

十一、填空题

1.采样保持量化编码

数字电路复习题及答案

数字电路复习题 (注意:以下题目是作为练习和考试题型而设,不是考题,大家必须融会贯通,举一反三。) 1、逻辑电路可以分为 组合逻辑电路 电路和 时序逻辑电路 电路。 2、数字电路的基本单元电路是 门电路 和 触发器 。 3、数字电路的分析工具是 逻辑代数(布尔代数) 。 4、(50.375)10 = (110010.011)2 = (32.6)16 5、3F4H = (10010 )8421BCD 6、数字电路中的最基本的逻辑运算有 与 、 或 、 非 。 7、逻辑真值表是表示数字电路 输入和输出 之间逻辑关系的表格。 8、正逻辑的与门等效于负逻辑的 或门 。 9、表示逻辑函数的4种方法是 真值表 、 表达式、 卡诺图 、 逻辑电路图 。 其中形式惟一的是 真值表 。 10、对于变量的一组取值,全体最小项之和为 1 。 11、对于任意一个最小项,只有一组变量的取值使其值为 1 ,而在变量取其他各组值时 这个最小项的取值都是 0 。 12、对于变量的任一组取值,任意两个最小项之积为0。 13、与最小项ABC 相邻的最小项有C AB 、C B A 、BC A 。 14、组合逻辑电路的特点是 输出端的状态只由同一时刻输入端的状态所决定,而与先前的状态没有关系(或输出与输入之间没有反馈延迟通路;电路中不含记忆元件) 。 15、按电路的功能分,触发器可以分为 RS 、 JK 、 D 、 T 、 T ’。 16、时序电路可分为 同步时序逻辑电路 和 异步时序逻辑电路 两种工作方式。 17、描述时序电路逻辑功能的方法有逻辑方程组(含 驱动方程 、 输出方程 、 状态方程 )、 状态图 、 状态表 、 时序图 。 18、(251)10 =()2 =(FB )16 19、全体最小项之和为 1 。 20、按照使用功能来分,半导体存储器可分为 RAM 和 ROM 。 21、RAM 可分为 动态RAM 和 静态RAM 。 22、存储器以 字 为单位组织内部结构,1个字含有 若干 个存储单元。1个字中所含的位数(即存储单元的个数)称为字长。字数与字长的乘积表示存储器的 容量 。字数决定 存储器的地址线的颗数 ,字长决定 存储器的数据线的颗数 。

数字电子技术试题及答案(题库)

《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数( ),作为8421BCD 码时,它相当于 十进制数( )。 2.三态门电路的输出有高电平、低电平和( )3种状态。 3.TTL 与非门多余的输入端应接( )。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接( )电平。 5. 已知某函数??? ??+??? ??++=D C AB D C A B F ,该函数的反函数F =( ) 。 6. 如果对键盘上108个符号进行二进制编码,则至少要( )位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为( )V ,其输出高电平为( )V ,输出低电平为( )V , CMOS 电路的电源电压为( ) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( )根地址线,有( )根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( )位。 11. );Y 3 =( )。

12. 某计数器的输出波形如图1所示,该计数器是( )进制计数器。 13.驱动共阳极七段数码管的译码器的输出电平为( )有效。 二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( )个。 A .16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( )。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( )种。 A .15 B .8 C .7 D .1 7. 随机存取存储器具有( )功能。 A.读/写 B.无读/写 C.只读 D.只写 8.N 个触发器可以构成最大计数长度(进制数)为( )的计数器。

数字电子技术试卷及答案五套

数字电子技术试卷 一、选择题: A组: 1.如果采用偶校验方式,下列接收端收到的校验码中,( A )是不正确的 A、00100 B、10100 C、11011 D、11110 2、某一逻辑函数真值表确定后,下面描述该函数功能的方法中,具有唯一性的是(B)A、逻辑函数的最简与或式B、逻辑函数的最小项之和 C、逻辑函数的最简或与式 D、逻辑函数的最大项之和 3、在下列逻辑电路中,不是组合逻辑电路的是(D) A、译码器 B、编码器 C、全加器 D、寄存器 4、下列触发器中没有约束条件的是(D) A、基本RS触发器 B、主从RS触发器 C、同步RS触发器 D、边沿D触发器 5、555定时器不可以组成D。 A.多谐振荡器 B.单稳态触发器 C.施密特触发器 D.J K触发器 6、编码器(A)优先编码功能,因而(C)多个输入端同时为1。 A、有 B、无 C、允许 D、不允许 7、(D)触发器可以构成移位寄存器。 A、基本RS触发器 B、主从RS触发器 C、同步RS触发器 D、边沿D触发器 8、速度最快的A/D转换器是(A)电路 A、并行比较型 B、串行比较型 C、并-串行比较型 D、逐次比较型 9、某触发器的状态转换图如图所示,该触发器应是( C ) A. J-K触发器 B. R-S触发器 C. D触发器 D. T触发器 10.(电子专业作)对于VHDL以下几种说法 错误的是(A ) A VHDL程序中是区分大小写的。 B 一个完整的VHDL程序总是由库说明部分、实体和结构体等三部分构成 C VHDL程序中的实体部分是对元件和外部电路之间的接口进行的描述,可以看成是定义元件的引脚 D 结构体是描述元件内部的结构和逻辑功能 B组: 1、微型计算机和数字电子设备中最常采用的数制是--------------------------------( A ) A.二进制 B.八进制 C. 十进制 D.十六进制 2、十进制数6在8421BCD码中表示为-------------------------------------------------( B ) A.0101 B.0110 C. 0111 D. 1000

数电复习题集(含答案解析)-数电复习题集

数 电 复 习 题 选择题: 1.下列四个数中,与十进制数(163)10不相等的是( D ) A 、(A3)16 B 、(10100011)2 C 、(000101100011)8421BC D D 、(203)8 2.N 个变量可以构成多少个最小项( C ) A 、N B 、2N C 、2N D 、2N -1 3.下列功能不是二极管的常用功能的是( C ) A 、检波 B 、开关 C 、放大 D 、整流 4..将十进制数10)18(转换成八进制数是 ( B ) A 、20 B 、22 C 、21 D 、23 5.译码器的输入地址线为4根,那么输出线为多少根( C ) A 、8 B 、12 C 、16 D 、20 6.能把正弦信号转换成矩形脉冲信号的电路是(D ) A 、多谐振荡器 B 、D/A 转换器 C 、JK 触发器 D 、施密特触发器 7.三变量函数()BC A C B A F +=,,的最小项表示中不含下列哪项 ( A ) A 、m2 B 、 m5 C 、m3 D 、 m7 8.用PROM 来实现组合逻辑电路,他的可编程阵列是( B ) A 、与阵列 B 、或阵列 C 、与阵列和或阵列都可以 D 、以上说法都不对 9.A/D 转换器中,转换速度最高的为( A )转换 A 、并联比较型 B 、逐次逼近型 C 、双积分型 D 、计数型 10.关于PAL 器件与或阵列说确的是 ( A ) A 、 只有与阵列可编程 B 、 都是可编程的 C 、 只有或阵列可编程 D 、 都是不可编程的 11. 当三态门输出高阻状态时,输出电阻为 ( A ) A 、无穷大 B 、约100欧姆 C 、无穷小 D 、约10欧姆 12为使采样输出信号不失真地代表输入模拟信号,采样频率 f s 和输入模

数电试题库(新)

第一、二章数制转换及逻辑代数 一、完成下列数制转换 (11001)2=()10;(32)10=()2;(110101.01)2=()10 (132.6)10=()8421BCD; 二、试分别用反演规则和对偶规则写出下列逻辑函数的反函数式和对偶式。 1、Y=错误!未找到引用源。+CD 2、Y=错误!未找到引用源。C 3、Y=错误!未找到引用源。D 4、Y= A错误!未找到引用源。B 5、Y=A+错误!未找到引用源。 6、Y=ABC+错误!未找到引用源。错误!未找到引用源。 三、用公式法化简为最简与或式: 1、Y=错误!未找到引用源。C+错误!未找到引用源。A 2、Y=错误!未找到引用源。错误!未找到引用源。C+错误!未找到引用源。BC+A 错误!未找到引用源。C+ABC 3、Y=错误!未找到引用源。(A+B) 4、Y=A错误!未找到引用源。(C+D)+D+错误!未找到引用源。 5、C B C B B A B A Y+ + + = 四、证明利用公式法证明下列等式 1、错误!未找到引用源。错误!未找到引用源。+错误!未找到引用源。错误!未找到引用源。+BC+错误!未找到引用源。错误!未找到引用源。错误!未找到引用源。=错误!未找到引用源。+ BC 2、AB+BCD+错误!未找到引用源。C+错误!未找到引用源。C=AB+C 3、A错误!未找到引用源。+BD+CBE+错误!未找到引用源。A错误!未找到引用源。+D 4、AB+错误!未找到引用源。错误!未找到引用源。+ A错误!未找到引用源。+错误!未找到引用源。B=错误!未找到引用源。) 5、AB(C+D)+D+错误!未找到引用源。(A+B)(错误!未找到引用源。+错误!未找到引用源。)=A+B错误!未找到引用源。+D 五、用卡诺图化简函数为最简与-或表达式 1、Y(A,B,C,D)=错误!未找到引用源。B+错误!未找到引用源。C+错误!未找到引用源。错误!未找到引用源。+AD 2、Y(A,B,C,D)=错误!未找到引用源。错误!未找到引用源。C+AD+错误!未找到引用源。(B+C)+A错误!未找到引用源。+错误!未找到引用源。错误!未找到引用源。 3、Y(A,B,C,D)=错误!未找到引用源。 4、Y(A,B,C,D)=错误!未找到引用源。 六、选择题 1. 在N进制中,字符N的取值范围为:() A.0 ~ N B.1 ~ N C.1 ~ N -1 D.0 ~ N-1 3. 二进制数1110111.11转换成十进制数是() A.119. 125 B.119. 3 C.119 . 375 D.119.75 4、数字信号的特点是() A.在时间上和幅值上都是连续的。B.在时间上是离散的,在幅值上是连续的。 C.在时间上是连续的,在幅值上是离散的。D.在时间上和幅值上都是不连续的。 5、下列各门电路符号中,不属于基本门电路的是()

数电期末试卷及答案(共4套)

XX大学信息院《数字电子技术基础》 期终考试试题(110分钟)(第一套) 一、填空题:(每空1分,共15分) 1.逻辑函数Y AB C =+的两种标准形式分别为()、 ()。 2.将2004个“1”异或起来得到的结果是()。 3.半导体存储器的结构主要包含三个部分,分别是()、()、()。 4.8位D/A转换器当输入数字量10000000为5v。若只有最低位为高电平,则输出电压为()v;当输入为10001000,则输出电压为()v。 5.就逐次逼近型和双积分型两种A/D转换器而言,()的抗干扰能力强,()的转换速度快。 6.由555定时器构成的三种电路中,()和()是脉冲的整形电路。7.与PAL相比,GAL器件有可编程的输出结构,它是通过对()进行编程设定其()的工作模式来实现的,而且由于采用了()的工艺结构,可以重复编程,使它的通用性很好,使用更为方便灵活。 二、根据要求作题:(共15分) 1.将逻辑函数P=AB+AC写成“与或非”表达式,并用“集电极开路与非门”来实现。 2.图1、2中电路均由CMOS门电路构成,写出P、Q 的表达式,并画出对应A、B、C的P、Q波形。 三、分析图3所示电路:(10分) 1)试写出8选1数据选择器的输出函数式; 2)画出A2、A1、A0从000~111连续变化时,Y的波形图; 3)说明电路的逻辑功能。

四、设计“一位十进制数”的四舍五入电路(采用8421BCD码)。要求只设定一个输出,并画出用最少“与非门”实现的逻辑电路图。(15分) 五、已知电路及CP、A的波形如图4(a) (b)所示,设触发器的初态均为“0”,试画出输出端B和C的波形。(8分) B C 六、用T触发器和异或门构成的某种电路如图5(a)所示,在示波器上观察到波形如图5(b)所示。试问该电路是如何连接的?请在原图上画出正确的连接图,并标明T的取值。 (6分) 七、图6所示是16*4位ROM和同步十六进制加法计数器74LS161组成的脉冲分频电路。ROM 中的数据见表1所示。试画出在CP信号连续作用下的D3、D2、D1、D0输出的电压波形,并说明它们和CP信号频率之比。(16分) 表1:

复习题(数电答案)

1.下列四种类型的逻辑门中,可以用( D )实现与、或、非三种基本运算。 A. 与门 B. 或门 C. 非门 D. 与非门 2. 根据反演规则,CD C B A F ++=)(的反函数为(A )。 A. ))((''''''D C C B A F ++= B. ))((''''''D C C B A F ++= C. ))((''''''D C C B A F += D. ))(('''''D C C B A F ++= 3.逻辑函数F=)(B A A ⊕⊕ =( A )。 A. B B. A C. B A ⊕ D. B A ⊕ 4. 最小项ABCD 的逻辑相邻最小项是( A )。 A. ABCD B. ABCD C. ABCD D. ABCD 5. 对CMOS 与非门电路,其多余输入端正确的处理方法是(D )。 A. 通过大电阻接地(>1.5K Ω) B. 悬空 C. 通过小电阻接地(<1K Ω) D. 通过电阻接+VCC 6. 下列说法不正确的是( C )。 A .当高电平表示逻辑0、低电平表示逻辑1时称为正逻辑。 B .三态门输出端有可能出现三种状态(高阻态、高电平、低电平)。 C .OC 门输出端直接连接可以实现正逻辑的线与运算。 D .集电极开路的门称为OC 门。 7.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( C ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 8. 若用JK 触发器来实现特性方程为1+n Q Q AB Q +=A ,则JK 端的方程为( A )。 A.J=AB ,K=A B.J=AB ,K=A C. J =A ,K =AB D.J=B A ,K=AB 9.要将方波脉冲的周期扩展10倍,可采用( C )。 A 、10级施密特触发器 B 、10位二进制计数器 C 、十进制计数器 D 、10位D/A 转换器 10. 一个16选1的数据选择器,其地址输入端有( C )个。 A.1 B.2 C.4 D.16 11. 8线—3线优先编码器的输入为I0—I7 ,当优先级别最高的I7有效时,其输出012Y Y Y ??的值是( C )。

数字电路复习题及答案.

《数字电子技术基础》复习题 一.选择填空题(以下每小题后均给出了几个可供选择的答案,请选择其中一个最合适的答案填入空格中) 1.处理 b 的电子电路是数字电路。 (a)交流电压信号(b)时间和幅值上离散的信号 (c)时间和幅值上连续变化的信号(d)无法确定 2.用不同数制的数字来表示2004,位数最少的是 d 。 (a)二进制(b)八进制(c)十进制(d)十六进制 3.最常用的BCD码是 b 。 (a)5421码(b)8421码(c)余3码(d)循环码 4.格雷码的优点是 c 。 (a)代码短(b)记忆方便(c)两组相邻代码之间只有一位不同(d)同时具备以上三者 5.两个开关控制一盏灯,只有两个开关都闭合时灯才不亮,则该电路的逻辑关系是 a 。 (a)与非(b)或非(c)同或(d)异或 6.已知F=ABC+CD,选出下列可以肯定使F=0的取值 d (a)ABC=011 (b)BC=11 (c)CD=10 (d)BCD=111 7.2004个1连续异或的结果是 a 。 (a)0 (b)1 (c)不唯一(d)逻辑概念错误 二、填空题(请在空格中填上合适的词语,将题中的论述补充完整)

1.5的5421BCD码是0101 这个是8421码的。 2.逻辑表达式中,异或的符号是⊕,同或的符号是⊙。 3.逻辑函数常用的表示方法有真值表、逻辑函数式、逻辑图和卡诺图。 4.用代数法化简逻辑函数需要一定的经验和技巧,不容易确定化简结果是否是最简。 5.用卡诺图化简逻辑函数,化简结果一般是最简与-或式。 一.选择填空题(以下每小题后均给出了几个可供选择的答案,请选择其中一个最合适的答案填入空格中) 1.实体(ENTITY)描述一个设计单元的 C D 的信息。 (a)行为、元件及连接关系(b)元件、子程序、公用数据类型(c)名称和端口的引脚等(d)可编译的设计单元 2.结构体(ARCHITECTURE)用于描述设计单元的 A D 。 (a)行为、元件及连接关系(b)元件、子程序、公用数据类型(c)名称和端口的引脚等(d)可编译的设计单元 3.在VHDL语言中,ARCHITECTURE中的语句都是 B 执行的语句。 (a)顺序(b)并行(c)即可顺序也可并行(d)无法确定4.在VHDL程序设计中,下面4个部分, C 不是可编译的源设计单元。 (a)ARCHITECTURE (b)ENTITY (c)PROCESS (d)PACKAGE

(完整版)数电试题及答案

通信 071~5 班 20 08 ~20 09 学年 第 二 学期 《数字电子技术基 础》 课试卷 试卷类型: A 卷 一、 单项选择题(每小题2分,共24分) 1、8421BCD 码01101001.01110001转换为十进制数是:( ) A :78.16 B :24.25 C :69.71 D :54.56 2、最简与或式的标准是:( ) A :表达式中乘积项最多,且每个乘积项的变量个数最多 B :表达式中乘积项最少,且每个乘积项的变量个数最多 C :表达式中乘积项最少,且每个乘积项的变量个数最少 D :表达式中乘积项最多,且每个乘积项的变量个数最多 3、用逻辑函数卡诺图化简中,四个相邻项可合并为一项,它能:( ) A :消去1个表现形式不同的变量,保留相同变量 B :消去2个表现形式不同的变量,保留相同变量 C :消去3个表现形式不同的变量,保留相同变量 表1 D :消去4个表现形式不同的变量,保留相同变量 4、已知真值表如表1所示,则其逻辑表达式为:( ) A :A ⊕B ⊕C B :AB + BC C :AB + BC D :ABC (A+B+C ) 5、函数F(A ,B ,C)=AB+BC+AC 的最小项表达式为:( ) A :F(A,B,C)=∑m (0,2,4) B :F(A,B,C)=∑m (3,5,6,7) C :F(A,B,C)=∑m (0,2,3,4) D :F(A,B,C)=∑m (2,4,6,7) 6、欲将一个移位寄存器中的二进制数乘以(32)10需要( )个移位脉冲。 A :32 B : 10 C :5 D : 6 7、已知74LS138译码器的输入三个使能端(E 1=1,E 2A =E 2B =0)时,地址码A 2A 1A 0=011,则输出Y 7 ~Y 0是:( ) A :11111101 B :10111111 C :11110111 D :11111111 8、要实现n 1n Q Q =+,JK 触发器的J 、K 取值应是:( ) A :J=0,K=0 B :J=0,K=1 C :J=1,K=0 D :J=1,K=1

数电试题与答案(五套)

《数字电子技术基础》试题一 一、 填空题(22分 每空2分) 1、 =⊕0A , =⊕1A 。 2、JK 触发器的特性方程为: 。 3、单稳态触发器中,两个状态一个为 态,另一个为 态.多谐振荡器两个状态都为 态, 施密特触发器两个状态都为 态. 4、组合逻辑电路的输出仅仅只与该时刻的 有关,而与 无关。 5、某数/模转换器的输入为8位二进制数字信号(D 7~D 0),输出为0~25.5V 的模拟电压。若数字信号的最低位是“1”其余各位是“0”,则输出的模拟电压为 。 6、一个四选一数据选择器,其地址输入端有 个。 二、 化简题(15分 每小题5分) 用卡诺图化简逻辑函数,必须在卡诺图上画出卡诺圈 1)Y (A,B,C,D )=∑m (0,1,2,3,4,5,6,7,13,15) 2)∑∑+=)11,10,9,3,2,1()15,14,13,0() ,,,(d m D C B A L 利用代数法化简逻辑函数,必须写出化简过程 3)________________________________________ __________)(),,(B A B A ABC B A C B A F +++= 三、 画图题(10分 每题5分) 据输入波形画输出波形或状态端波形(触发器的初始状态为0). 1、 2、 四、 分析题(17分) 1、分析下图,并写出输出逻辑关系表达式,要有分析过程(6分) 2、电路如图所示,分析该电路,画出完全的时序图,并说明电路的逻辑功能,要有分析过程(11分) 五、 设计题(28分) 1、 用红、黄、绿三个指示灯表示三台设备的工作情况:绿灯 亮表示全部正常;红灯亮表示有一台不正常;黄灯亮表示两台不正常;红、黄灯全亮表示三台都不正常。列出控制 电路真值表,要求用74LS138和适当的与非门实现此电路(20分)

数字电路复习题及答案

数字电路复习题及答案

数字电路复习题 (注意:以下题目是作为练习和考试题型而设,不是考题,大家必须融会贯通,举一反三。)1、逻辑电路可以分为组合逻辑电路电路和时序逻辑电路电路。 2、数字电路的基本单元电路是门电路和触发器。 3、数字电路的分析工具是逻辑代数(布尔代数)。 4、(50.375)10 = (110010.011)2 = (32.6)16 5、3F4H = (0001000000010010 )8421BCD 6、数字电路中的最基本的逻辑运算有与、或、非。 7、逻辑真值表是表示数字电路输入和输出之间逻辑关系的表格。 8、正逻辑的与门等效于负逻辑的或门。 9、表示逻辑函数的4种方法是真值表、表达式、卡诺图、逻辑电路图。 其中形式惟一的是真值表。 10、对于变量的一组取值,全体最小项之和为

1。 11、对于任意一个最小项,只有一组变量的取值 使其值为1,而在变量取其他各组值时 这个最小项的取值都是0。 12、对于变量的任一组取值,任意两个最小项之 积为0。 13、与最小项ABC相邻的最小项有C A。 AB、C B A、BC 14、组合逻辑电路的特点是输出端的状态只由同一时刻输入端的状态所决定,而与先前的状态没有关系(或输出与输入之间没有反馈延迟通路;电路中不含记忆元件)。 15、按电路的功能分,触发器可以分为RS、JK、 D、T、 T’。 16、时序电路可分为同步时序逻辑电路和异步时序逻辑电路两种工作方式。 17、描述时序电路逻辑功能的方法有逻辑方程组(含驱动方程、输出方程、 状态方程)、状态图、状态表、时序图。 18、(251)10 =(11111011)2 =(FB)16 19、全体最小项之和为 1 。 20、按照使用功能来分,半导体存储器可分为

最新数电试题库试卷1

1.将二进制数化为等值的十进制和十六进制: (1100101)2=( 101 )10 =( 65 )16 2.写出下列二进制数的原码和补码: (-1011)2=( 11011 )原=( 10101 )补 Y的电平依次为3.输出低电平有效的3线– 8线译码器的输入为110时,其8个输出端0 7~Y 10111111 。 *; 4.写出J、K触发器的特性方程:Q Q+ = Q K J 5. TTL集电极开路门必须外接__上拉电阻______才能正常工作。 1.余3码10001000对应的8421码为(A )。 A.01010101 B.10000101 C.10111011 D.11101011 2.使逻辑函数) B A B =为0的逻辑变量组合为( D ) C + + F+ (C A ' ' )( ' ' )( A. ABC=000 B. ABC=010 C. ABC=011 D. ABC=110 3.标准或-与式是由( C )构成的逻辑表达式。 A.与项相或 B. 最小项相或 C. 最大项相与 D.或项相与 4. 由或非门构成的基本R、S触发器,则其输入端R、S应满足的约束条件为(B)。 A. R+S=0B. RS=0C. R+S=1D.RS=1 5.一个8选一数据选择器的地址输入端有(C )个。 A.1 B.2 C.3 D.8 6.RAM的地址线为16条,字长为32,则此RAM的容量为( D )。 A.16×32 位 B. 16K×32位 C. 32K×32位 D.64K×32位 7.要使JK触发器在时钟作用下的次态与现态相反,JK端取值应为(D )。 A.JK=00 B. JK=01 C. JK=10 D. JK=11 8. 用8个触发器可以记忆( D )种不同状态. A.8 B.16 C.128 D.256 9. 多谐振荡器可以产生下列哪种波形( B ) A.正弦波 B.矩形脉冲 C.三角波 D.锯齿波 10.输出在每个时钟周期翻转一次的触发器是( A )。

五套电力系统分析试题(含参考答案)

电力系统分析试题(含答参考案) 2018.08 一、 一、填空题 1.降压变压器高压侧的主分接头电压为220kv ,若选择+2×2.5%的分接头,则该分接头电压为 231KV 。 2.电力系统中性点有效接地方式指的是 中性点直接接地 。 3.输电线路的电气参数包括电抗、电导、电纳和 电阻 。 4.输电线路的电压偏移是指线路始端或末端母线的实际运行电压与线路 额定电压 的数值差。 5.电力系统的潮流分布一般是用各节点的电压和 功率 表示。 6.调整发电机组输出的有功功率用来调整电力系统运行的 频率 。 7.复合故障一般是指某一时刻在电力系统 二个及以上地方 发生故障。 8.用对称分量法计算不对称故障,当三相阻抗完全对称时,则其序阻抗矩阵Zsc 的非对角元素为 零 。 9.系统中发生单相接地短路时故障点短路电流的大小是零序电流的 3 倍。 10.减小输出电元件的电抗将 提高(改善) 系统的静态稳定性。 二、单项选择题在每小题列出的四个选项中只有一个选项是符合题目要求的,请将正确选项前的字母填在题后的括号内。 11.同步发电机的转速和系统频率之间是否有严格的关系( ② ) ①否 ②是 ③不一定 ④根据发电机的形式定 12.三绕组变压器的结构、通常将高压绕组放在( ③ ) ①内层 ②中间层 ③外层 ④独立设置 13.中性点以消弧线圈接地的电力系统,通常采用的补偿方式是( ③ ) ①全补偿 ②欠补偿 ③过补偿 ④有时全补偿,有时欠补偿 14.三相导线的几何均距越大,则导线的电抗( ② ) ①越大 ②越小 ③不变 ④无法确定 15.变压器的电导参数G T ,主要决定于哪一个实验数据( ① ) ①△P O ②△P K ③U K % ④I O % 16.当功率的有名值为s =P +jQ 时(功率因数角为?)取基准功率为S n ,则有功功率的标么值为( ③ ) ① ?cos S P n ? ②?sin S P n ? ③n S P ④n S cos P ?? 17.环网中功率的自然分布是( ④ ) ①与电阻成正比分布 ②与电抗成正比分布 ③与阻抗成正比分布 ④与阻抗成反比分布

数字电路复习题(含答案)

一、填空题: 1.在计算机内部,只处理二进制数;二制数的数码为1 、0两个;写出从(000)2 依次加1的所有3位二进制数:000、001、010、011、100、101、110、111 。2.13=(1101)2;(5A)16=(1011010)2;(10001100)2=(8C)16。 完成二进制加法(1011)2+1=(1100)2 3.写出下列公式:= 1 ; = B ; = A+B ; =B A 。 4.含用触发器的数字电路属于时序逻辑电路(组合逻辑电路、时序逻辑电路)。 TTL、CMOS电路中,工作电压为5V的是TTL ;要特别注意防静电的是CMOS 。 5.要对256个存贮单元进行编址,则所需的地址线是8 条。 6.输出端一定连接上拉电阻的是OC 门;三态门的输出状态有1 、0 、高阻态三种状态。 7.施密特触发器有 2 个稳定状态.,多谐振荡器有0 个稳定状态。8.下图是由触发器构成的时序逻辑电路。试问此电路的功能是移位寄存器, 是同步时序电路(填同步还是异步),当R D=1时,Q0Q1Q2Q3= 0000 ,当R D=0,D I=1,当第二个CP脉冲到来后,Q0Q1Q2Q3= 0100 。 (图一) 1.和二进制数(111100111.001)等值的十六进制数是( B ) A.(747.2) 16B.(1E7.2) 16 C.(3D7.1) 16 D.(F31.2) 16 R CP

2.和逻辑式B A C B AC+ +相等的式子是( A ) A.AC+B B. BC C.B D.BC A+ 3.32位输入的二进制编码器,其输出端有( D )位。 A. 256 B. 128 C. 4 D. 5 4.n位触发器构成的扭环形计数器,其无关状态数为个( B ) A.2n-n B.2n-2n C.2n D.2n-1 5.4个边沿JK触发器,可以存储( A )位二进制数 A.4 B.8 C.16 6.三极管作为开关时工作区域是( D ) A.饱和区+放大区B.击穿区+截止区 C.放大区+击穿区D.饱和区+截止区 7.下列各种电路结构的触发器中哪种能构成移位寄存器( C ) A.基本RS触发器B.同步RS触发器C.主从结构触发器8.施密特触发器常用于对脉冲波形的( C ) A.定时B.计数C.整形 1.八进制数 (34.2 ) 8 的等值二进制数为11100.01 ;十进制数 98 的8421BCD 码为10011000 。 2.试写出下列图中各门电路的输出分别是什么状态(高电平、低电平)?(其中(A)(B)为TTL门电路,而(C)为CMOS门电路) (A)(B)(C) Y 1= 02 Y 2 = 1 Y 3 = 1 3.一个 JK 触发器有 2 个稳态,它可存储 1 位二进制数。 4.单稳态触发器有一个稳定状态和一个暂稳状态。施密特触发器有

数字电子技术试题库

数字电子技术 一、请对下列函数化简 1、1 F =AC+AB+BC+ACD 解: 1 F =AC+AB+BC+ACD F1=AC(1+D)+AB+BC =AC+AB+BC =A(B+C)+BC =A(B+C)+B+C 由A+AB=A+B 得出 1F =A+B+C=A+BC 2、 3、1F AB AC BC BCD BCE BCF =+++++ 解: 1F AB AC BC BCD BCE BCF =+++++ C A C B AB B C A C B A C B C B A AB ABC AB BC A ABC C B C B A C B A AB A A BC C B C C B A AB BC C B B A F ++=+++++=+++++=+++++=+++=)()()()()(1

1F AB A BC BCD BCE BCF =+?+++ AB C BD BE =+++ 4. 2F AB AC CD BCD BCE BCG BCF =++++++ 解: 2F AB AC CD BCD BCE BCG BCF =++++++ 2F AB AC CD BC BD BCE =+++++ AB AC CD BD BCE =++++ AC CD AD AB BD BCE =+++++ AC CD AD AD B BCE =+++?+ AC CD AD B BCE =++++ AC CD B CE =+++ 5、4(,,,)F A B C D AB AC ABD A CD CD =++++ 解: 4(,,,)F A B C D AB AC ABD A CD CD =++++

数字电路试题五套含答案

《数字电子技术》试卷一 一、 填空(每空1分,共25分) 1、(10110)2=( )10=( )16 (28)10=( )2=( )16 (56)10=( )8421BCD 2、最基本的门电路是: 、 、 。 3、有N 个变量组成的最小项有 个。 4、基本RS 触发器的特征方程为_______ ,约束条件是 __. 5、若存储器的容量是256×4RAM,该RAM 有 ___存储单元,有 字,字长 _____位,地址线 根。 6、用N 位移位寄存器构成的扭环形计数器的模是________. 7、若令JK 触发器的J=K=T 则构成的触发器为_______. 8、如图所示,Y= 。 9、如图所示逻辑电路的输出Y= 。 10、已知Y=D AC BC B A ++,则Y = ,Y/= 。 11、组合逻辑电路的特点是_________、___________;与组合逻辑电路相比,时序逻辑电路的输出不仅仅取决于此刻的_______;还与电路 有关。 二、 化简(每小题5分,共20分) 1、公式法化简 (1)Y=ABC ABC BC BC A ++++ (2)Y ABC A B C =+++

2、用卡诺图法化简下列逻辑函数 =+++ (1)Y BCD BC ACD ABD Y=∑+∑ (2)(1,3,4,9,11,12,14,15)(5,6,7,13) m d 三、设下列各触发器初始状态为0,试画出在CP作用下触发器的输出波形(10 分) 四、用74LS161四位二进制计数器实现十进制计数器(15分)

五、某汽车驾驶员培训班结业考试,有三名评判员,其中A 为主评判员,B 、C 为副评判员,评判时,按照少数服从多数原则,但若主评判员认为合格也可以通过。试用74LS138和与非门实现此功能的逻辑电路。(15分) P Q A Q B Q C Q D C T 74LS161 LD CP Q A 、Q B 、Q C 、Q D :数据输出端; A 、B 、C 、D :数据输入端; P 、T :计数选通端; r C :异步复位端; CP :时钟控制输入端; D L :同步并置数控制端;

数电各章复习试题与答案

第1章逻辑代数基础 一、选择题(多选题) 1.以下代码中为无权码的为。 A. 8421BCD码 B. 5421BCD码 C. 余三码 D. 格雷码 2.一位十六进制数可以用位二进制数来表示。 A. 1 B. 2 C. 4 D. 16 3.十进制数25用8421BCD码表示为。 A.10 101 B.0010 0101 C.100101 D.10101 4.与十进制数(53.5)10等值的数或代码为。 A.(0101 0011.0101)8421BCD B.(35.8)16 C.(110101.1)2 D.(65.4)8 5.与八进制数(47.3)8等值的数为: A. (100111.011)2 B.(27.6)16 C.(27.3 )16 D. (100111.11)2 6.常用的B C D码有。 A.奇偶校验码 B.格雷码 C.8421码 D.余三码 7.与模拟电路相比,数字电路主要的优点有。 A.容易设计 B.通用性强 C.保密性好 D.抗干扰能力强 8. 逻辑变量的取值1和0可以表示:。 A.开关的闭合、断开 B.电位的高、低 C.真与假 D.电流的有、无 9.求一个逻辑函数F的对偶式,可将F中的。 A .“·”换成“+”,“+”换成“·” B.原变量换成反变量,反变量换成原变量 C.变量不变 D.常数中“0”换成“1”,“1”换成“0” E.常数不变 10. A+BC= 。 A .A+ B B.A+ C C.(A+B)(A+C) D.B+C 11.在何种输入情况下,“与非”运算的结果是逻辑0。 A.全部输入是0 B.任一输入是0 C.仅一输入是0 D.全部输入是1 12.在何种输入情况下,“或非”运算的结果是逻辑0。 A.全部输入是0 B.全部输入是1 C.任一输入为0,其他输入为1 D.任一输入为1 13.以下表达式中符合逻辑运算法则的是。 A.C·C=C2 B.1+1=10 C.0<1 D.A+1=1 14. 当逻辑函数有n个变量时,共有个变量取值组合? A. n B. 2n C. n2 D. 2n 15. 逻辑函数的表示方法中具有唯一性的是。 A .真值表 B.表达式 C.逻辑图 D.卡诺图 16.F=A B+BD+CDE+A D= 。

(完整版)数字电子技术题库

一、 选择题 1. 求逻辑函数Y AB B AB =++的最简与或式( ) ()()()()1 A A B AB B A B C A B B D +++++ 2. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C . F (A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 3. 求逻辑函数C B A AB C C B A ABC Y ++++=的最简与或式 A. C B A ABC Y ++= B. C B A Y += C. C B Y +=1 D. 1 4. 求逻辑函数C B A ABC C B A ABC Y ++++=的最简与或式 A. B AB Y += B. 1=Y C. B A Y += D. AC AB ABC Y ++= 5. 求逻辑函数01234689101114(,,,)(,,,,,,,,,,)Y A B C D m m m m m m m m m m m =∑最简与或式( ) ()()()()A B CD AD B B CD ACD C B CD AD D B CD AD ++++++++ 6. 函数Y ABC AB =+的最简与或式( ) ()()()0 ()1 A A B AB A C BC B AB AB AC BC C D ++++++ 7. 逻辑函数()Y ABC AB AB BC =+++,最少需要几个与非门可以实现此逻辑( ) (A) 2 (B) 3 (C) 4 (D) 5 8. 逻辑函数()Y CD A B ABC ACD =⊕++约束条件0AB CD +=的最简与或式( ) ()()()()A B AD AC B B AD AC C B AD AC D B AD AC ++++++++ 9. 逻辑函数(,,)Y A B C ABC AC BC =++的标准与或式为( ) ()(1,3,5,7)()(0,3,5,7)()(1,2,5,7) ()(1,3,5,6) A m B m C m D m ∑∑∑∑ 10. 图中门电路为74系列TTL 门。要求当V I =V IH 时,发光二极管D 导通并发光,

《数字电路》复习题部分答案

一、填空题20分 1、逻辑分析、逻辑设计的概念 2、数字电路的分类、研究方法 3、逻辑函数的表示有四种:逻辑电路图、其中后三种之间可以相互转换。 逻辑变量和函数只有两种取值,而且它们只是表示两种不同的逻辑状态。逻辑代数有三种基本运算。 4、逻辑代数的定理、规则的应用(例:求反函数) 5、最小/大项的性质 6、由真值表写出函数表达式 7、什么是功能模块,小规模中规模设计追求的目标 8、中规模器件(编码器,译码器,比较器,选择器,加法器的(1)逻辑功能(2)输入/出的数量关系 【 9、触发器的稳态的互补性,分类,特性方程 10、时序电路的组成,特点,分类 11、构造一个模N的计数器需要状态,需要触发器 12、代码的转化,例()8421=()10=()2 12、PLD常识概念(PLD PLA PAL GAL 及基本结构) 二、利用真值表证明函数相等(或函数化简)10分 三、分析题30分 1、分析组合电路 2、时序电路例题 四、设计题目40分 , 1、用门电路设计实现组合电路(15分) 2、用3-8译码器(输出低电平有效)/选择器设计实现 (10分) ①三输入表决电路②全加器/全减器 ③两位数比较器电路④优先权判断电路 3、时序电路的分析设计(15分) 分析设计可满足给定的时序波形图要求的时序电路 ~

" 模拟题 一、填空题 1、对现成的数字电路,研究它的逻辑功能称为 逻辑分析 ; 而 设计它的逻辑电路 称为逻辑设计。 2、数字逻辑电路可分为 组合逻辑电路 和 时序逻辑电路 两大类。 3、表示逻辑函数的方法有四种 逻辑代数 、 真值表 、卡诺图 和逻辑图,前三者各有特点,适应于不同的场合,它们之间存在内在的联系,可相互转换。 4、逻辑变量和函数只有 “0”或“1” 两种取值,而且它们只是表示两种不同的逻辑状态。 : 5、逻辑代数有 与运算 、 或运算 和 非运算 三种基本运算。 6、相同变量构成的最小项mi 和最大项Mi ,应满足= 0 ,Mi+mi= 1 。 7、1983=( 000 )8421码 (1100110)B =( 1010101 )Gray 8、使用小规模集成电路的逻辑设计,其设计目标追求的是 所用的门电路越少越好 。 9、采用MSI 器件为基础的设计,主要考虑的是 所设计的电路能否满足功能要求、可靠性要求及价格要求,尽量减少集成器件数 。 10、二进制一位全加器是实现 两个一位二进制数进行相加并考虑低位来的进位求得和及向高位进位的 逻辑功能的逻辑电路。 11、逻辑函数D C B A F ?+?=,其反函数为 A+B (C+D)()。 12、若逻辑函数F(A,B,C)=∑m(1,2,4,6) , G(A,B,C)=∑m(0,1,2,3,4,5,7),则F 和G 相与的结果为 ABC+BC 。 13、函数D D C C B C A AB F ++++=的最简与或式为 AB+C+D 。 : 时序电路是由组合电路和 存储电路 两部分组成,并形成 反馈回0路 ,它是一种

相关主题
文本预览
相关文档 最新文档