当前位置:文档之家› 数码管显示控制器

数码管显示控制器

数码管显示控制器
数码管显示控制器

目录

1 选题背景 (1)

1.1 指导思想 (1)

1.2 方案论证 (1)

1.3 基本设计任务 (1)

1.4 发挥设计任务 (2)

1.5电路特点 (2)

2 电路设计 (2)

2.1 总体方框图 (2)

2.2 工作原理 (3)

3 各主要电路及部件工作原理 (3)

3.1 时基电路 (3)

3.2 十进制计数器 (4)

3.3 顺序控制单元电路 (4)

3.4数码显示电路 (5)

4 原理总图 (6)

5 元器件清单 (7)

6 调试过程及测试数据(或者仿真结果) (7)

6.1 通电前检查 (7)

6.2 通电检查 (7)

6.2.1 显示单元电路的调试: (7)

6.2.2 计数与控制单元电路的调试: (8)

6.2.3 555脉冲输出的调试 (8)

6.3 结果分析 (8)

7 小结 (8)

8 设计体会及今后的改进意见 (8)

8.1 体会 (9)

8.2 本方案特点及存在的问题 (9)

8.3 改进意见 (9)

参考文献 (10)

数码管显示控制器

1 选题背景

现今,各种显示和控制电路已经频频出现在我们生活中,数码管和LED等在我们的现实生活中占有很大比重,像交通灯的计时器,倒数计时器等等。我们的生活中充斥着各种数字,那么这些数字到底是怎样显示,如何控制,LED控制器让我深刻了解到了它们的原理,明白了数码管控制的原理。

1.1 指导思想

LED控制器主要由四个部分构成:时基电路、计数锁存电路、控制电路和译码显示。根据LED控制器的定义,用数据选择器实现自然数列、奇数列、偶数列和音乐符号数列的依次输出,然后由数码管循环显示出来。

1.2 方案论证

方案一:本系统时基电路由555构成多谐振荡器,产生较精确的1HZ脉冲,该信号直接作为十进制计数器74HC160的计数脉冲,再经过数据选择器的控制完成自然数列、奇数列、偶数列和音乐符号数列的选择,通过译码器传到数码管显示出来。

方案二:本系统的时基电路也可通过电容充放电产生脉冲信号,接至计数器的CLK,其他皆同方案一。

方案三:本系统的时基电路也可通过晶振通过分频得到准确1HZ的脉冲,其他皆同方案一。

由于方案二的脉冲可能没有方案一中的精确,但方案三同样的作用性价比不高,为确保作品的可用性,准确性,实用性我选择采用方案一。

1.3 基本设计任务

功能要求:

(1)采用一个半导体数码管作为控制器的显示器,能够自动地依次显示出数字0、1、2、3、4、5、6、7、8、9(自然数列),1、3、5、7、9(奇数列),0、2、4、6、8(偶数列)和0、1、2、3、4、5、6、7(音乐符号数列),然后又依次显示出自然数列、奇数

列、偶数列和音乐符号数列……如此周而复始,不断循环。

(2)打开电源时,控制器可自动清零,从接通电源时刻起,数码管最先显示出自然数列的0,再显示出1,然后按上述规律变化。

参考元器件:74HC160/74HC161,74HC153,NE555等

1.4 发挥设计任务

1.5电路特点

纯硬件电路设计实现,电路稳定、精度高、没有烦琐的软件调试过程,缩短了生产周期。而且电路简单,清楚明了,容易制作。

2 电路设计

2.1 总体方框图

图2-1 总体方框图

2.2 工作原理

LED控制器主要由4个基本单元组成:时基电路、计数锁存电路、逻辑控制电路和译码显示系统。当系统正常工作时,时基电路提供的1 Hz 的脉冲信号,送至计数器的CLK,由计数器提供0~38的循环,即外循环。其中(1)0~9 ,(2)1,3,5,7,9 (3)0,2,4,6,8 (4) 0~7 此四组数据由数据选择器控制完成其顺序输出,最后通过译码器驱动数码管显示出来。

3 各主要电路及部件工作原理

3.1 时基电路

图3-1时基电路

由555构成多些振荡器,输出约1Hz的脉冲信号。

3.2十进制计数器

图3-2十进制计数器

用两片74hc160构成38进制的计数器,是为一个大循环。当四个数列全部显示完后,又重新开始计数,开始新一轮的数据输出。

3.3 顺序控制单元电路

图3-3 顺序控制单元电路

用74HC153进行数据选择,

''

'

'

012

3

Y

B A

D B A

D B A D

B A D =

+++

用第二片74HC160的输出作为74153的地址输入,则可实现自然数列、奇数列、偶数列和音乐符号数列的依次输出。

表3-4 功能表

0000 (0) 0001 (1) 0010 (2) 0011 (3) 0100 (4) 0101 (5) 0110 (6) 0111 (7) 1000 (8) 1001 (9)

奇数列最低位一直为1,偶数列最低位一直为0,可令 D 1 =1,D 2=0,由数选器的Y 输出最终要输出四位数的最低位(A )。其它位均由74HC160的个位对应输出。

3.4数码显示电路

CD4511是一个用于驱动共阴极LED (数码管)显示器的BCD 码—七段码译码器,特点:具有BCD 转换、消隐和锁存控制、七段译码及驱动功能的CMOS 电路能提供较大的拉电流。可直接驱动LED 显示器。

其功能介绍如下: BI :4脚是消隐输入控制端,当BI=0 时,不管其它输入端状态如何,七段数码管均处于熄灭(消隐)状态,不显示数字。LT :3脚是测试输入端,当BI=1,LT=0 时,译码输出全为1,不管输入DCBA 状态如何,七段均发亮,显示“8”。它主要用来检测数码管是否损坏。LE :锁定控制端,当LE=0时,允许译码输出。LE=1时译码器是锁定保持状态,译码器输出被保持在LE=0时的数值。A1、A2、A3、A4、为8421BCD

码输入端。a、b、c、d、e、f、g:为译码输出端,输出为高电平1有效。

图3-5 CD4511引脚图

4 原理总图

图4-1 原理总图

5 元器件清单

表5-1 元器件清单

6 调试过程及测试数据(或者仿真结果)

为使电路便于调试我们采用分块调试的方法。

6.1 通电前检查

电路安装完毕后,经检查电路各部分接线正确,电源、元器件之间无短路,器件无接错现象。

6.2 通电检查

6.2.1 显示单元电路的调试:

上电后,数码管亮,显示为0,正常。

6.2.2 计数与控制单元电路的调试:

检查计数器是否计数,用函数发生器给160计数器1HZ的脉冲,发现数码管不计数,怀疑是接线有问题。经检查,160的电源没接。接好后,上电,加脉冲,数码管正常工作。

6.2.3 555脉冲输出的调试

接好电路,输出接至示波器,显示波形正常,经检查,电路没问题,接线也没错,为约1Hz的矩形波,将其接至两片计数器的CLK,上电试后,显示正常,为最终期望输出,依次显示出自然数列、奇数列、偶数列和音乐符号数列……如此周而复始,不断循环。

6.3 结果分析

上电后,数码管输出能够自动地依次显示出数字0、1、2、3、4、5、6、7、8、9(自然数列),1、3、5、7、9(奇数列),0、2、4、6、8(偶数列)和0、1、2、3、4、5、6、7(音乐符号数列),然后又依次显示出自然数列、奇数列、偶数列和音乐符号数列……如此周而复始,不断循环。与期望值相同。

7 小结

LED控制器通过计数器,数据选择器的控制可以自动地依次显示出数字0、1、2、3、4、5、6、7、8、9(自然数列),1、3、5、7、9(奇数列),0、2、4、6、8(偶数列)和0、1、2、3、4、5、6、7(音乐符号数列),然后又依次显示出自然数列、奇数列、偶数列和音乐符号数列……如此周而复始,不断循环。

8 设计体会及今后的改进意见

本次课程设计从原理设计、电路仿真到实物制作均由我们完成,对我们是一个很重要的历练,让我们从理论回归到了实际,加深了对所学知识的理解,从现实的角度考核了我们,就我的LED控制器来说,完成了这件作品让我很有成就感,学有所用。但从实际出发,我的作品还是没有直接的价值。改进的话,我想它可以作为计时器,驱动钢琴自动弹出声音,还有奇偶数列可以控制两个不同的装置,实现分组功能等等。

再有,毕竟我们没焊过几块板子,在焊接,接线,布局方面以后还需注意,比如每片

集成电路都先焊接电端(红线)和接地端(白线),这样片子的第几脚就容易看了,不用我们再麻烦地数,调试时也易于查线,十分明了。

8.1 体会

通过本次课程设计,我的动手操作能力得到很大的提高,同时,通过将学过的理论知识与实际相结合,既加深了对理论知识的理解,有感受到知识转化为实物的乐趣。对于工科生而言,动手能力是衡量一个学生综合素质的重要指标,因此,今后,我们应该花更多的时间到动手时间中,提高自己各方面的能力。另外,通过本次课程设计,我发现以我们自己的能力,完全可以靠自己的努力,去实现生活中电子方面的某些需求。总之,本次课设,引发了我们对本专业强烈的兴趣,激励我们更好的学习专业知识。

8.2 本方案特点及存在的问题

本方案电路简单,条理清晰,容易制作,只用了很少的元件就可以实现功能,是一个易于开发的方案。但是,其中也存在几点问题,我们的数选器所用的地址刚好就是计数器的十位数,很巧合。若是在把参数规定严格一些(如两数间隔的时间均为1s),这个控制器就不合格了。

8.3 改进意见

显示数列的同时可以配上音乐以增加效果。

参考文献

[1] 阎石.数学电子技术基础.清华大学.高等教育出版社.2006

[2] 康华光.电子技术基础(模拟部分).华中科技大学. 高等教育出版社.2006

[3] 李志健. 数字电子技术基础实验任务书.陕西科技大学教务处.2007

PLC课程设计-LED灯数码显示控制

成绩 可编程逻辑控制器课程设计报告 题目LED灯数码显示控制 系别 专业名称 班级 学号 姓名 指导教师

目录 一、引言 (4) 二、系统总体方案设计 (4) 系统硬件配制及组成原理 (4) PLC各组成部件及作用 (4) PLC的分类 (5) LED数码管的结构及工作原理 (6) 系统变量定义及分配表 (7) 系统接线图设计 (7) 三、控制系统设计 (8) 控制程序设计思想 (8) 控制程序时序图设计 (8) 四、系统调试及结果分析 (8) 系统调试及解决的问题 (8) 结果分析 (9) 五、结束语 (9) 六、参考文献 (9) 附录 (10)

LED 数码显示控制 一、实验目的 了解并掌握LED 数码显示控制中的应用及其编程方法。 二、控制要求 按下启动按钮后,由八组LED 发光二极管模拟的八段数码管开始显示:一一显示各段,之后一次显示0、1、2、3、4、5、6、7、8、9、A 、B 、C 、D 、E 、F 再返回初始显示,并循环不止。 三、LED 数码显示控制的实验面板图: 四、实验设备 1、安装了STEP7-Micro/WIN32编程软件的计算机一台 2、PC/PPI 编程电缆一根 3、锁紧导线苦干 五、实验步骤 1、根据上表进行输入输出接线; 2、编写程序,并把程序输入STEP7中; 3、检查输入程序无误以后,将程序下载到主机内,并且把PLC 的工作模式达到RUN 模式; 4、拨动输入开关SD ,观察输出LED 的显示结果。 输入 接线 SD 启动 输出 接线 A B C D E F G H A B C D E F G H

51单片机并行口驱动LED数码管显示电路及程序

51单片机并行口驱动LED数码管显示电路及程序 介绍利用51单片机的一个并行口实现多个LED数码管显示的方法,给出了利用此方法设计的多路LED显示系统的硬件电路结构原理图和软件程序流程,同时给出了采用51汇编语言编写程序。 1 硬件电路 多位LED显示时,常将所有位的段选线并联在一起,由一个8位I/O口控制,而共阴极点或共阳极点分别由另一个8位I/O口控制;也可采用并行扩展口构成显示电路,通常,需要扩展器件管脚的较多,价格较高。本文将介绍一种利用单片机的一个并行I/O口实现多个LED显示的简单方法,图1所示是该电路的硬件原理图。其中,74LS138是3线-8线译码器,74LS164是8位并行输出门控串行输入移位寄存器,LED采用L05F型共阴极数码管。 显示时,其显示数据以串行方式从89C52的P12口输出送往移位寄存器74LS164的A、B 端,然后将变成的并行数据从输出端Q0~Q7输出,以控制开关管WT1~WT8的集电极,然后再将输出的LED段选码同时送往数码管LED1~LED8。位选码由89C52的P14~P16口输出并经译码器74LS138送往开关管Y1~Y8的基极,以对数码管LED1~LED8进行位选控制,这样,8个数码管便以100ms的时间间隔轮流显示。由于人眼的残留效应,这8个数码管看上去几乎是同时显示。

<51单片机并行口驱动LED数码管显示电路> 2 软件编程 该系统的软件编程采用MCS-51系列单片机汇编语言完成,并把显示程序作为一个子程序,从而使主程序对其进行方便的调用。图2所示是其流程图。具体的程序代码如下:

<51单片机并行口驱动LED数码管显示程序>

PLC设计数码管循环显示

设计任务及指标: 用LED数码管间隔显示数字,按下S0依次间隔2s循环显示0~9十个数字,按S1依次间隔2s显示0~9中奇数,5秒后,依次间隔2s显示0~9中偶数,并且实现奇偶的循环。在显示的过程中,只要有一键按下,就立即实现该键的功能,且计数初值为相应循环的初值。 设计思路: 设计可以分为循环模式切换的控制部分、间隔2s的循环控制部分、编码部分和输出部分四大模块。 1.循环模式切换的控制部分: 定义:X000:0~9循环X001:奇偶循环 M200:用来切换显示模式的中间继电器 M203:用来恢复初值的中间继电器 工作过程:按下X000时,M200不得电,M200的触点不工作,实现0~9循环的所有中间继电器接通,并且在X000的启动下,其中的显示0的中间继电器M0开始工作;当按下X001时,M200得电,M200的触点工作,使奇偶循环的所有中间继电器接通,实现切换。同时,当X000与X001中只要任意一个按下时,M203得电,M203的常闭触点断开,使第2~10组控制显示的中间继电器与时间继电器失电,从而保证只有第一组能工作,防止在切换过程中出现两组同时工作导致乱码。梯形图如下:

2.间隔2s的循环控制部分: 定义:控制显示的部分 M0显示0、M1显示1、M2显示2、M3显示3、M4显示4、 M5显示5、M6显示6、M7显示7、M8显示8、M9显示9; M10显示1、M11显示3、M12显示5、M13显示7、M14显示9、 M15显示0、M16显示2、M17显示4、M18显示6、M19显示8; 间隔2s的循环部分: T0~T9的延迟时间为2s,T10的延迟时间为5s ; 工作过程:起动时按下X000,M0开始工作,显示0,T0也开始工作; 2s后T0的常开触点闭合,M1开始工作,显示1,同时M1的常闭触点断开,使得M0失电。重复上述过程,即可实现0~9的循环显示; 此时按下X001,M200、M203工作,M203的常闭触点断开使M1~M9、M11~M19、T1~T9失电,M200的常开触点闭合,常闭触点断开,使得M0~M9一直处于断开,M10~M19接通;与此同时,X001按下使得

用单片机实现1位LED数码管显示0-9

单片机课程设计题目1位LED数码管显示0-9姓名陈益明 学号 班级 09电力 指导老师许丽汪厚新

目录 一:实验目的与任务…………………二:实验要求…………………………三:实验内容…………………………... 四:实验器材…………………………五:关于PLC控制LED介绍………. 六:原理图绘制说明…………………七:流程图绘制以及说明……………八:电路原理图与仿真………………九:源程序……………………………十:心得体会…………………………十一:参考文献………………………

一、实验与任务 结合实际情况,编程设计、布线、程序调试、检查与运行,完成一个与接近实际工程项目的课题,以培养学生的实际操作能力,适应生产一线工作的需要。做到能检查出错误,熟练解决问题;对设备进行全面维修。 通过实训对PLC的组成、工作原理、现场调试以及基于网络化工作模式的基本配置与应用等有一个一系列的认识和提高。 利用51单片机、1个独立按键及1位7段数码管等器件,设计一个单片机输入显示系统,要求每按一下独立按键数码管显示数据加1(数码管初始值设为0,计到9后再加1 ,则数码管显示0)。 本次设计采用12MHz的晶体振荡器为单片机提供振荡周期,外加独立按键,复位电路和显示电路组成。 二、实验要求 1掌握可编程序控制器技术应用过程中的一些基本技能。 2、巩固、加深已学的理论知识。 3了解可编程控制器的装备、调试的全过程。 4、培养我们综合运用所学的理论知识和基本技能的能力,尤其是培养我们 把理论和实际结合起来分析和解决问题的能力。适应世界生产的需要。 培养出一批既有理论知识又有动手能力的人才。 三、实验内容 1、练习设计、连接、调试控制电路; 2、学习PLC程序编程;

51单片机(四位数码管的显示)程序[1]

51单片机(四位数码管的显示)程序 基于单片机V1或V2实验系统,编写一个程序,实现以下功能:1)首先在数码管 上显示P ”个字符;2)等待按键,如按了任何一个键,则将这 4个字符清除, 改为显示0000”个字符(为数字的0)。 E3最佳答案 下面这个程序是4x4距阵键盘丄ED 数码管显示,一共可以到0-F 显示,你可以稍微 改一下就可以实现你的功能了,如还有问题请发信息,希望能帮上你! #i nclude un sig ned char code Dig[]={0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90,0x88,0x83,0xc6,0xa1 ,0x86,0x8e}; //gongyang 数码管 0-F 代码 void key_delay(void) { int t; for(t=0;t<500;t++); } un sig ned char k; //设置全局变量k 为键盘的键值 键盘延时函数 键盘扫描函数 ***************************** */ //延时函数

void keyscan(void) //键盘初始化 //有键按下? //延时 //确认真的有键按下? //使行线 P2.4 为低电平,其余行为高电平 //a 作为缓存 //开始执行行列扫描 { case 0xee:k=15;break; case 0xde:k=11;break; case 0xbe:k=7;break; case 0x7e:k=3;break; default:P2 = 0xfd; //使行线 P2.5 为低电平,其余行为高电平 a = P2; switch (a)//键盘扫描函数 { unsigned char a; P2 = 0xf0; if(P2!=0xf0) { key_delay(); if(P2!=0xf0) { P2 = 0xfe; key_delay(); a = P2; switch (a)

DS18B20温度控制数码管显示(汇编非常详细)

; DS18B20温度控制数码管显示(汇编非常详细) * ;* 1、P1.6= → 进入设定温度报警值TL 状态: * ;* L--20 * ;* 2、P1.6 → 进入设定温度报警值TH 状态: * ;* H--28 * ;* 3、P1.6 → 返回 * ;* 4、设定过程:P1.4 →加键(UP),P1.5 →减键(DOWN),可快速调。* ;* ** TIMER_L DA TA23H TIMER_H DA TA24H TIMER_COUN DA TA25H TEMPL DA TA26H TEMPH DA TA27H TEMP_TH DA TA28H TEMP_TL DA TA29H TEMPHC DA TA2AH TEMPLC DA TA2BH TEMP_ZH DA TA2CH BEEP EQU P3.7 DA TA_LINE EQU P3.3 RELAY EQU P1.3 FLAG1 EQU 20H.0 FLAG2 EQU 20H.1 ;------------------------------------------------- K1 EQU P1.4 K2 EQU P1.5 K3 EQU P1.6 K4 EQU P1.7 ;=================================================

ORG 0000H JMP MAIN ORG 000BH AJMP INT_T0 ;-------------------------------------------------- MAIN: MOV SP,#30H MOV TMOD,#01H ;T0,方式1 MOV TIMER_L,#00H ;50ms定时值 MOV TIMER_H,#4CH MOV TIMER_COUN,#00H ;中断计数 MOV IE,#82H ;EA=1,ET0=1 LCALL READ_E2 ;LCALL RE_18B20 MOV 20H,#00H SETB BEEP SETB RELAY MOV 7FH,#0AH ;熄灭符 CALL RESET ;复位与检测DS18B20 JNB FLAG1,MAIN1 ;FLAG1=0,DS18B20不存在 JMP START MAIN1: CALL RESET JB FLAG1,START LCALL BEEP_BL ;DS18B20错误,报警 JMP MAIN1 START: MOV A,#0CCH ; 跳过ROM匹配 CALL WRITE MOV A,#044H ; 发出温度转换命令 CALL WRITE CALL RESET MOV A,#0CCH ; 跳过ROM匹配 CALL WRITE MOV A,#0BEH ; 发出读温度命令 CALL WRITE CALL READ ;读温度数据 CALL CONVTEMP CALL DISPBCD CALL DISP1 CALL SCANKEY

6位7段LED数码管显示

目录 1. 设计目的与要求..................................................... - 1 - 1.1 设计目的...................................................... - 1 - 1.2 设计环境...................................................... - 1 - 1.3 设计要求...................................................... - 1 - 2. 设计的方案与基本原理............................................... - 2 - 2.1 6 位 8 段数码管工作原理....................................... - 2 - 2.2 实验箱上 SPCE061A控制 6 位 8 段数码管的显示................... - 3 - 2.3 动态显示原理.................................................. - 4 - 2.4 unSP IDE2.0.0 简介............................................ - 6 - 2.5 系统硬件连接.................................................. - 7 - 3. 程序设计........................................................... - 8 - 3.1主程序......................................................... - 8 - 3.2 中断服务程序.................................................. - 9 - 4.调试............................................................... - 12 - 4.1 实验步骤..................................................... - 12 - 4.2 调试结果..................................................... - 12 - 5.总结............................................................... - 14 - 6.参考资料........................................................... - 15 - 附录设计程序汇总.................................................... - 16 -

单片机实验四 I O显示控制实验(数码管显示实验)

电子信息工程学系实验报告 课程名称:单片微型计算机与接口技术Array 实验项目名称:实验四 I/O显示控制实验实验时间: 班级: **** 姓名:**** 学号:******** 一、实验目的: 1、熟悉keil仿真软件、proteus仿真软件、软件仿真板的使用。 2、了解并熟悉一位数码管与多位LED数码管的电路结构、与单片机的连接方法及其应用原理。 3、学习proteus构建LED数码管显示电路的方法,掌握C51中单片机控制LED数码管动态显示的原理与编程方法。 二、实验环境: 1、Windows XP系统; 2、Keil uVision2、proteus系列仿真调试软件 三、实验原理: 1、LED数码管的结构和原理 LED显示器是由发光二极管显示字段的显示器件。在单片机应用系统中通常使用的是七段LED,这种显示器有共阴极与共阳极两种。 (a)共阴极LED显示器的发光二极管阴极共地,当某个发光二极管的阳极为高电平时,该发光二极管则点亮; (b)共阳极LED显示器的发光二极管阳极并接。 2、七段显示器与单片机接口:只要将一个8位并行输出口与显示器的发光二极管引脚相连即可。8位并行输出口输出不同的字节数据即可获得不同的数字或字符,如下表所示。通常将控制发光二极管的8位字节数据称为段选码。 八段选码(显示码)的推导(以共阳数码管显示C为例): 要显示C则a、f、e、d四个灯亮2.为是共阳数码管,则a、f、e、d应送0时亮3.dp-a为11000110B 3、多位数码管的显示:电路结构、动态静态两种实现原理: LED显示器有静态显示与动态显示两种方式。 (1) LED静态显示方式 各位LED的位选线连在一起接地或接+5V;每位LED的段选线(a-dp)各与一个八位并行口相连; 在同一时间里每一位显示的字符可以各不相同。

PLC控制数码管显示程序设计

? PLC控制数码管显示程序设计》 学院名称:信息工程学院 专业名称:电气自动化技术 班级名称:电气1204 班 姓名:赵传锋 学号:1205130425 指导教师:汪清平 完成时间:2014年06 月01 日

摘要 数码管显示是一个典型的PIC教学项目。在交通灯、电梯、抢答器等系统的控制中都融入了数码管显示。 本设计就是利用PLC作为核心部件用对数码管显示进行设计,让学生在学习过程中更熟练地掌握PIC的编程技巧,提高编程能力。 利用PLC控制数码管的显示过程,并且给出了接线图、梯形图。 关键词:PLC编程设计;接线图:梯形图

、系统组成 1. 设计要求: 先按下“开”按钮,再按“循环显示”按钮,数码管就会从0~9循环显示。按下“置数”按钮,数码管实时显示8 4、2、1编码开关所置数值。用“循环显示”和“置数”按钮来切换数码管的循环显示和置数状态。 2. 系统组成及工作原理: 系统由pic硬件系统和梯形图程序组成。 PLC的控制方式是由继电器控制方式演化而来,由PLC内部的微电子电路构成的软元件线圈和触点取代了硬件继电器的线圈和触点,用PLC的程序指令取代继电器控制的连接导线,将各个元件按照一定的逻辑关系连接起来,PLC内部的中央控制器(CPU)根据输入条件和预定的程序,控制各个软元件的状态,并输出到外部执行部件,控制设备运行。 3. 硬件原理图: +24 v COM 数 管

4.程序流程图:

二、系统设计 设计可以分为循环模式与置数模式的切换控制部分、循环与置数控制部分和输出部分三大模块。 1. 输出部分: 定义: 编码部分中间继电器的常开触点接法: 将Mxx中低位数字相同的中间继电器的常开触点并联接到一起;输出的接法: Y000接a段、Y001接b段、Y002接c段、Y003接d段、 Y004 接e 段、Y005接f 段、Y006接g 段、Y007接dot 段。 工作过程:当编码部分中的某一组工作时,该组中的中间继电器相应的常开触点闭合,从而使相对应的Y00x有输出,这样与输出相连的段就发亮,并组合形成数字。

基于51单片机的LED数码管动态显示

基于51单片机的LED数码管动态显示 LED数码管动态显示就是一位一位地轮流点亮各位数码管,对于每一位LED数码管来说,每隔一段时间点亮一次,利用人眼的“视觉暂留"效应,采用循环扫描的方式,分时轮流选通各数码管的公共端,使数码管轮流导通显示。当扫描速度达到一定程度时,人眼就分辨不出来了。尽管实际上各位数码管并非同时点亮,但只要扫描的速度足够快,给人的印象就是一组稳定的显示数据,认为各数码管是同时发光的。若数码管的位数不大于8位时,只需两个8位I/O口。 1 硬件设计 利用51单片机的P0口输出段码,P2口输出位码,其电路原理图如下所示。 在桌面上双击图标,打开ISIS 7 Professional窗口(本人使用的是v7.4 SP3中文版)。单击菜单命令“文件”→“新建设计”,选择DEFAULT模板,保存文件名为“DT.DSN”。在器件选择按钮中单击

“P”按钮,或执行菜单命令“库”→“拾取元件/符号”,添加如下表所示的元件。 51单片机AT89C51 一片 晶体CRYSTAL 12MHz 一只 瓷片电容CAP 22pF 二只 电解电容CAP-ELEC 10uF 一只 电阻RES 10K 一只 电阻RES 4.7K 四只 双列电阻网络Rx8 300R(Ω) 一只 四位七段数码管7SEG-MPX4-CA 一只 三极管PNP 四只 若用Proteus软件进行仿真,则上图中的晶振和复位电路以及U1的31脚,都可以不画,它们都是默认的。 在ISIS原理图编辑窗口中放置元件,再单击工具箱中元件终端图标,在对象选择器中单击POWER 和GROUND放置电源和地。放置好元件后,布好线。左键双击各元件,设置相应元件参数,完成电路图的设计。 2 软件设计 LED数码管动态显示是一位一位地轮流点亮各位数码管的,因此要考虑每一位点亮的保持时间和间隔时间。保持时间太短,则发光太弱而人眼无法看清;时间太长,则间隔时间也将太长(假设N位,则间隔时间=保持时间X(N-1)),使人眼看到的数字闪烁。在程序中要合理的选择合适的保持时间和间隔时间。而循环次数则正比于显示的变化速度。 LED数码管动态显示的流程如下所示。

单片机课设-数码管显示滚动控制

《单片机设计与实训》 设计报告 题目:数码管滚动显示控制 姓名:王伟杰 班级:自动化四班 学号: 2014550430 指导老师:莹 提交日期: 2016年10月29日

目录 一、设计题目与要求 (3) 1.1设计题目 (3) 1.2设计要求 (3) 二、系统方案设计 (3) 2.1硬件电路设计 (3) 1.单片机最小系统简介 (3) 2.数码管显示电路 (6) 2.3硬件选型及说明 (6) 1. ST89C51单片机 (6) 2. 四位一体七段共阴极显示数码管 (8) 三、系统原理图设计与仿真 (9) 3.1系统仿真图 (9) 3.2系统仿真结果 (10) 四、程序设计 (11) 4.1程序设计 (11) 4.2程序流程图 (12) 五、系统调试 (14) 5.1系统硬件调试 (14) 5.2系统软件调试 (14) 六、总结与体会 (14) 附录一 (16) 附录二 (17) 附录三 (27)

一、设计题目与要求 单片机课程设计是一门实践课程,要求学生具有制作调试单片机最小系统及外设的能力,能够掌握单片机部资源的使用。单片机课程设计容包括硬件设计、制作及软件编写、调试,学生在熟练掌握焊接技术的基础上,能熟练使用单片机软件开发环境Keil C51编程调试,并使用STC ISP调试工具采用串口下载方式联调制作的单片机最小系统。单片机课程设计题目包含基本部分及扩展部分,基本部分即单片机最小系统部分,扩展部分是对单片机部资源及外部IO口的功能扩展,使制作的单片机系统具有一定的功能。 1.1设计题目 数码管滚动显示控制 1.2设计要求 自制一个单片机最小系统,包括串口下载、复位电路,采用两个四位一体数码管作为显示器件,通过按钮选择实现四种滚动显示模式,例如从左至右,从右至左,缩,外扩等,滚动信息可以是数字或有意义的英文字符。 二、系统方案设计 2.1硬件电路设计 本设计的硬件电路主要包括的模块有:单片机最小系统、七段数码管显示模块、 1.单片机最小系统简介 单片机最小系统,或者称为最小应用系统,是指用最少的元件组成的单片机可以工作的系统.对51系列单片机来说,最小系统一般应该包括:单片机、晶振电路、复位电路。结构图如下:

PLC数码管显示课程设计

PLC课程设计论文 级专业班 题目:数码管显示 学号: 姓名: 指导老师: 二零年月日

加信号 一 任务要求实现的功能 数码管显示0~9十个数字,有三个控制按钮,一个是‘加’按钮SQ1,一个是'减'按钮SQ2,另一个是复位按钮SB 。按一下SQ1数码管显示数据加1,当加到9如果再按下SQ1就显示0;当按一下SQ2时数码管显示数字减1,当减到0如果再按下SQ2就显示9。当按下SB 时,数码管显示0. 二 1.描述功能框图 2.虚拟实物图: - 复位信号 判断是哪种类型的信号 数码管显示的数字加1 减信号 数码管显示的数据减1 数码管显示的数据清零 清零 +

三 流程图 N Y 小于9? 大于0? 启 动 加信号? 复位信号? 显示数字0 Y N D0减1 D0=9 D0复位 N D0加1 Y Y N

四 输入输出分配表 输 入 低压电器 PLC X 元件 输 出 低压电器 PLC Y 元件 清零按钮SQ X0 数码管显示 Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y7 加按钮SB1 X1 减按钮SB2 X2 五 梯形图 六 指令表

七总结体会 这次课程设计是用PLC编程语言设计实现数码管显示。在老师布置题目的时候觉得我们这个题目简单,但是当真的去写的时候因为忘记一条指令,而不知道要怎么实现数码管显示的功能,在同学的相互配合下,我们完成了梯形图的绘制。 在梯形图的绘制过程中,每一个步骤都要认真。通过这次的课程设计,熟悉了PLC系统设计的流程、PLC编程软件,加深了对PLC 工作原理的理解,更加熟悉相关指令的编写方法,在实践中加深了对PLC编程的喜爱。仿真的过程是检验编程是否正确的方法。从开始的一无所知,到后来的慢慢熟悉,我感到很兴奋,明白只有全面的考虑问题才能做出系统而严谨的设计。 按照指导老师的要求,我们两个同学一组,我们相互配合,交流对题目的理解,对程序的分析,动手实践能力和团结协作能力都得到了提高。

数码管动态显示实验报告

实验四数码管动态显示实验一 一、实验要求 1.在Proteus软件中画好51单片机最小核心电路,包括复位电路和晶振电路 2.在电路中增加四个7段数码管(共阳/共阴自选),将P1口作数据输出口与7段数码 管数据引脚相连,P2.0~P2.3引脚输出选控制信号 3.在Keil软件中编写程序,采用动态显示法,实现数码管分别显示数字1,2,3,4 二、实验目的 1.巩固Proteus软件和Keil软件的使用方法 2.学习端口输入输出的高级应用 3.掌握7段数码管的连接方式和动态显示法 4.掌握查表程序和延时等子程序的设计 三.实验说明 本实验是将单片机的P1口做为输出口,将四个数码管的七段引脚分别接到P1.0至P1.7。由于电路中采用共阳极的数码管,所以当P1端口相应的引脚为0时,对应的数码管段点亮。程序中预设了数字0-9的段码。由于是让四个数码管显示不同的数值,所以要用扫描的方式来实现。因此定义了scan函数,接到单片机的p2.0至p2.3 在实验中,预设的数字段码表存放在数组TAB中,由于段码表是固定的,因此存储类型可设为code。 在Proteus软件中按照要求画出电路,再利用Keil软件按需要实现的功能编写c程序,生成Hex文件,把Hex文件导到Proteus软件中进行仿真。为了能够更好的验证实验要求,在编写程序时需要延时0.5s,能让人眼更好的分辨;89C51的一个机器周期包含12个时钟脉冲,而我们采用的是12MHz晶振,每一个时钟脉冲的时间是1/12us,所以一个机器周期为1us。在keil程序中,子函数的实现是用void delay_ms(int x),其中x为1时是代表1ms。 四、硬件原理图及程序设计 (一)硬件原理图设计 电路中P1.0到P1.7为数码管七段端口的控制口,排阻RP1阻值为220Ω,p2.0到p2.3为数码管的扫描信号。AT89c51单片机的9脚(RST)为复位引脚,当RST为高电平的时间达到2个机器周期时系统就会被复位;31引脚(EA)为存取外部存储器使能引脚,当EA为高电平是使用单片机内部存储器,当EA为低电平时单片机则使用外部存储器。18、19引脚是接晶振脚。而接地和电源端在软件中已经接好,所以不用在引线。 如下图所示:

plc控制数码管显示

一、设计目的 1、通过利用PLC控制数码管显示熟悉相关的编程应用 2、实现题目设计中要求的功能实现 二、设计要求 按下启动按钮后,由八组LED发光二极管模拟的八段数码管显示:先是一段段显示,显示次序是:A、B、C、D、E、F、G、H。随后显示数字及字符,显示次序0、1、2、3、4、5、6、7、8、9、a、b、c、d、e、f。再返回初始显示,并循环不止。 三、设计内容(可加附页) 1、列出输入输出表 2、画出系统接线图 3、根据控制要求设计出梯形图 4、写出指令表 LD 200.00 ANDNOT TIM000 LD TIM001 ANDNOT TIM002 ORLD LD TIM002 ANDNOT TIM003 ORLD LD TIM004 ANDNOT TIM005 ORLD LD TIM005 ANDNOT TIM006 ORLD LD TIM006 ANDNOT TIM007 ORLD LD TIM007 ANDNOT TIM008 ORLD LD TIM008 ANDNOT TIM009 ORLD LD TIM009 ANDNOT TIM010 ORLD LD TIM011 ANDNOT TIM012 ORLD LD TIM013 ANDNOT TIM014 ORLD LD TIM014 ANDNOT TIM015 ORLD LD 200.01 ANDNOT TIM016 ORLD OUT 10.00 LD 200.00 ANDNOT TIM000 LD TIM000 ANDNOT TIM001 ORLD LD TIM001 ANDNOT TIM002 ORLD LD TIM002 ANDNOT TIM003 ORLD LD TIM003 ANDNOT TIM004 ORLD LD TIM006 ANDNOT TIM007 ORLD LD TIM007 ANDNOT TIM008 ORLD LD TIM008 ANDNOT TIM009 ORLD LD TIM009

四乘四键盘控制LED数码管显示电路.doc

四乘四键盘控制LED数码管显示电路 目录 一、设计内容及要求 (2) 二、系统硬件设计方案 (2) 三、系统软件设计 (8) 四、效果演示 (12) 摘要 矩阵式键盘系统以N个端口链接控制N*N个按键,使数字显示在LED数码管上。单片机控制的是键盘显示系统,该系统可以对不同的按键进行实时显示,其核心是单片机和键盘矩阵电路部分,主要对按键与显示电路的关系、矩阵式技术及设备的硬件、软件等各个部分进行实现。 对于4*4矩阵式键盘,我想采用STC89C52RC单片机为核心,主要由矩阵式键盘电路、译码器、显示电路等组成,软件选用汇编语言编程。单片机将检测到的按键信号转成数字量,显示于LED显示器上。该系统灵活性强,易于操作,可靠性高,将会有更广阔的开发前景。

一、设计内容及要求 用protues仿真设计一个单片机小系统,该小系统外接一个4*4键盘及一个LED数码管,要求按下一个键,数码管上显示该键的对应号码。键盘的布局如下图所示: 主要内容如下: 1.根据矩阵式键盘的特点,进行键盘控制系统的整体研究与设 计。 2.LED实时显示信息。 3.采用软件编程方法实现按键信息的提取和显示。 二、系统硬件设计方案 1.芯片的选择 STC89C52RC单片机是51系列单片机的一个成员,是8051单片机的简化版。内部自带8K字节可编程FLASH存储器,拥有2K+字节的EEPROM作为程序存储器的拓展。由于STC89C52RC具有下

图中的配置,因此具有结构简单、造价低廉、效率高的特点,省去了外部的RAM、ROM和接口器件,减少了硬件的开销,节省了成本,提高了系统的性价比 。 STC89C52RC的主机系统图:

51单片机数码管显示电子时钟C程序

#include #define LEDLen 6 ; #define tick10000; #define T100us=(256-100); unsigned char hour,minute,second; unsigned int c100us; xdata unsigned char OUTBIT_at_0x8002; xdata unsigned char OUTSEG_at_0x8004; unsigned char LEDBuf[6]; code unsigned char LEDMAP[]= {0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07, 0x7f,0x6f,0x77,0x7c,0x39,0x5e,0x79,0x71 }; void DisplayLED() { unsigned char i,j,pos,LED; pos = 0x20 ; for( i=0;i<6;i++ ) { OUTBIT =0; LED=LEDBuf[i]; OUTSEG =LED; OUTBIT = pos; Delay(1); pos>>=1; } } void main() { TMOD=0x02; TH0=T100us; TL0=T100us; EA=1,IT0=1; hour=0; minute=0 second=0; c100us=tick; TR0=1; while(1) { LEDBuf[0]=LEDMAP[hour/10] ; LEDBuf[1]=LEDMAP[hour%10] ;

LEDBuf[2]=LEDMAP[minute/10]; LEDBuf[3]=LEDMAP[minute%10]; LEDBuf[4]=LEDMAP[second/10]; LEDBuf[5]=LEDMAP[second%10]; DISplayLED(); } } void T0_interrupt1 { c100us--; if(c100us==0) { c100us=tick; second++; if(second==60) { second=0; minute++; if(minute==60) { minute==0; hour++; if(hour==24)hour==0; } } } }

数码管动态显示的51单片机时钟设计

一看就会,适合初学者参考 T0,T1同时开中断,和别人的有点不一样 源程序如下 //数码管设计的可调电子钟 //K1,K2分别调整小时和分钟 #include<> #include<> #define uchar unsigned char #define uint unsigned int uchar code DSY_CODE[]={0xC0,0xF9,0xA4,0xB0,0x99, //共阳段码 0x92,0x82,0xF8,0x80,0x90,0xFF}; uchar DSY_BUFFER[]={0,0,0xBF,0,0,0xBF,0,0}; //显示缓存uchar Scan_BIT; //扫描位,选择要显示的数码管 uchar DSY_IDX; //显示缓存索引 uchar Key_State; //P1端口按键状态 uchar h,m,s,s100; //十分秒,1/100s void DelayMS(uchar x) //延时 { uchar i; while(x--) for(i=0;i<120;i++); } void Increase_Hour() //小时处理函数 { if(++h>23)h=0; DSY_BUFFER[0]=DSY_CODE[h/10]; DSY_BUFFER[1]=DSY_CODE[h%10]; } void Increase_Minute()//分钟处理函数 { if(++m>59) { m=0;Increase_Hour(); } DSY_BUFFER[3]=DSY_CODE[m/10]; DSY_BUFFER[4]=DSY_CODE[m%10]; }

PLC控制LED灯数码显示控制程序

P L C控制L E D灯数码显 示控制程序 Company Document number:WUUT-WUUY-WBBGB-BWYTT-1982GT

led灯数码显示控制程序设计及模拟运行灯 一、实现功能:按下启动按钮,由8组led灯发光二极管模拟的8段数码管每隔1S 进行显示,显示内容依次为F、A、b、c、d、E、F 共8个字符。再重新循环显示。 二、硬件设计: 1、I\O点分配表 三、软件程序设计 1、控制过程 闭合输入继电器x0,程序开始工作。M0吸合,LED灯数码显示A,随后依次、b、c、d、E、F。 2、控制梯形图 3、语句表 4、仿真截图 5、实物照片 五、实训心得 在实习中,我们在指导教师的帮助下,将所学知识和实习内容相互结和、相互验证,并对一些实际问题加以分析和讨论。电子实 习是我们重要的电工电子技术基础实践课,培养学生的动手操作能

力就显得尤为重要。通过实习加深对课堂知识的理解,初步了解和掌握一般的电工电子工艺技能,了解电工电子产品生产过程。 通过电机与控制模块实训,我确实是学到了很多知识,拓展了自己的的视野。通过这一次的电机与控制模块实训,增强了我的动手操作的能力。 电子实习我们已经做过很多次了,这一次是专业的综合实习,包括以前我们没做过的plc。从实习的整个过程中,使我认识到自己的不足,比如对以前学的知识都忘记了很多,对自己学过的知识还不能灵活的应用到实际中。也就是对所学的知识掌握的不够熟练。我们应该随时把学过的知识拿出来复习,提高自己的基础知识和综合应用能力。 通过这一次的电子电工的实训,也培养了我们的规范化的工作作风,以及我们的团结协作的团队的精神。

用单片机实现1位LED数码管显示0-9

单片机课程设计 题目1位LED数码管显示0-9 姓名陈益明 学号 班级 09电力 指导老师许丽汪厚新 目录 一:实验目的与任务…………………二:实验要求…………………………三:实验内容…………………………... 四:实验器材…………………………五:关于PLC控制LED介绍………. 六:原理图绘制说明…………………

七:流程图绘制以及说明……………八:电路原理图与仿真………………九:源程序……………………………十:心得体会………………………… 十一:参考文献……………………… 一、实验与任务 结合实际情况,编程设计、布线、程序调试、检查与运行,完成一个与接近实际工程项目的课题,以培养学生的实际操作能力,适应生产一线工作的需要。做到能检查出错误,熟练解决问题;对设备进行全面维修。 通过实训对PLC的组成、工作原理、现场调试以及基于网络化工作模式的基本配置与应用等有一个一系列的认识和提高。 利用51单片机、1个独立按键及1位7段数码管等器件,设计一个单片机输入显示系统,要求每按一下独立按键数码管显示数据加1(数码管初始值设为0,计到9后再加1 ,则数码管显示0)。 本次设计采用12MHz的晶体振荡器为单片机提供振荡周期,外加独立按键,复位电路和显示电路组成。 二、实验要求 1掌握可编程序控制器技术应用过程中的一些基本技能。 2、巩固、加深已学的理论知识。 3了解可编程控制器的装备、调试的全过程。

4、培养我们综合运用所学的理论知识和基本技能的能力,尤其是培养我们 把理论和实际结合起来分析和解决问题的能力。适应世界生产的需要。 培养出一批既有理论知识又有动手能力的人才。 三、实验内容 1、练习设计、连接、调试控制电路; 2、学习PLC程序编程; 四、元器件清单 五、关于PLC控制LED介绍: PLC可编程控制器:它采用一类可编程的存储器,用于其内部存储程序、执行逻辑运算、顺序控制、定时、计数与算数操作等面向用户的指令,并通过数字或模拟式输入/输出控制各种类型的机械或生产过程。 用PLC控制LED直接进行数据显示,可以降低成本,使得数据显示直观。 传统数显有两种方法:1、由PLC编制程序进行译码,来控制显示a-g段;2、利用译码组合电路产生a-g各段译码信号实现LED数码管显示。前一种方法逻辑译码关系复杂,后一种方法译码电路冗长,都不利于显示的实现。传统数显逻辑译码关系复杂,而用PLC的位组合元件和译码功能指令方法来实现

51单片机数码管0到F动态显示

#include #define uchar unsigned char #define uint unsigned int sbit du=P2^6; sbit we=P2^7; uchar num; uchar code table[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f,0x77,0x7c,0x39,0x5e,0x79,0x71}; void delays(uint); void main() { we=1;//打开U2锁存器 P0=0xc0;//送入位选信号 we=0; while(1) { du=1; P0=table[0]; du=0; P0=0xff;//送位选数据前关闭所有显示,防止打开位选锁存时原来段选数据通过位选锁存器造成混乱 we=1; P0=0xfe; we=0; delays(500); du=1; P0=table[1]; du=0; P0=0xff;//送位选数据前关闭所有显示,防止打开位选锁存时原来段选数据通过位选锁存器造成混乱 we=1; P0=0xfd; we=0; delays(500); du=1; P0=table[2]; du=0; P0=0xff;//送位选数据前关闭所有显示,防止打开位选锁存时原来段选数据通过位选锁存器造成混乱 we=1; P0=0xfb; we=0;

delays(500); du=1; P0=table[3]; du=0; P0=0xff;//送位选数据前关闭所有显示,防止打开位选锁存时原来段选数据通过位选锁存器造成混乱 we=1; P0=0xf7; we=0; delays(500); du=1; P0=table[4]; du=0; P0=0xff;//送位选数据前关闭所有显示,防止打开位选锁存时原来段选数据通过位选锁存器造成混乱 we=1; P0=0xef; we=0; delays(500); du=1; P0=table[5]; du=0; P0=0xff;//送位选数据前关闭所有显示,防止打开位选锁存时原来段选数据通过位选锁存器造成混乱 we=1; P0=0xdf; we=0; delays(500); du=1; P0=table[6]; du=0; P0=0xff;//送位选数据前关闭所有显示,防止打开位选锁存时原来段选数据通过位选锁存器造成混乱 we=1; P0=0xfe; we=0; delays(500); du=1; P0=table[7];

相关主题
文本预览
相关文档 最新文档