当前位置:文档之家› 电子技术基础实验指导书

电子技术基础实验指导书

电子技术基础实验指导书
电子技术基础实验指导书

实验一常用电子仪器的使用

(一) 低频信号发生器和电子电压表的使用

一、实验目的

为切实掌握电子电路实验技能,顺利进行各类电子实验,首先要求学生熟悉和掌握常用仪器的使用方法。

1.掌握低频信号发生器的使用方法。

2.掌握电子电压表的使用方法。

二、实验电路

低频信号发生器用来提供幅度和频率可调的正弦波电压,电子电压表用来测量微弱的正弦波电压。

实验电路如下,实验时可按实验图1—1(a)连接,仪器接地端要连在一起。信号发生器输出电压较小时,应使用屏蔽线,如实验图1—1(b)所示。

实验图1—1 测试仪器的连接

三、实验器材

四、实验容与步骤

1.测量低频信号发生器输出电压

(1)按图1—1(a)连接仪器。

(2)用低频信号发生器输出电压。

频率为1kHz,“输出衰减开关”调至“0dB”“输出细调”调至输出电压最大。

(1)用电子电压表测量此时的输出电压值,将测量结果记录在实验表1—1中。

(2)逐挡改变“输出衰减”开关位置,用电子电压表测量信号发生器输出电压值,将结果记录在表。

2。用低频信号发生器输出所需电压

(1)调节信号发生器,使其输出50mV、560Hz正弦波信号。

(2)调节信号发生器,使其输出200mV、1kHz的正弦波信号。

(3)用电子电压表测量低频信号发生器输出的电压值。记录测量结果于实验表1—2中。

实验表1—1 测量低频信号发生器输出电压

实验表1—2 用信号发生器输出所需电压

(二)示波器的使用

一、实验目的

1.熟悉示波器面板上各旋钮的位置和作用及开机前应处的正确位置,初步掌握示波器的使用方法。

2.初步掌握用示波器观测交、直流电压的方法。

二、实验电路

实验用仪器连接如实验图1—2所示。

实验图1—2 仪器连接

三、实验器材

四、实验容与步骤

1.观测正弦波电压

(1)低频信号发生器按要求输出正弦波电压。

(2)用示波器试低频信号发生器输出电压的幅度和频率,将被测电压的峰一峰值换算成有效值,与用电子电压表同时测得的数值加以比较,将实验结果记录在实

验表1—3中。

2.用示波器和万用表测试干电池电压,并记录于实验表1—4中。

实验表1—3 正弦波电压测试数据

实验表1—4 直流电压测试数据

实验二晶体管的简单测试

一、实验目的

1.用万用表测试晶体二极管的极性并判断二极管的好坏。

2.用万用表判别晶体三极管的管型和管脚,判断三极管的好坏、电流放大倍数的大小以及I CEO的大小。

二、实验电路

1.用万用表判断三极管的管型等时,可参考实验图2—1,将三极管等效为双PN结。2.用万用表判断二极管的极性可用实验图2—2。

实验图2—1 判别管型时,将三极管等效为两个PN结

实验图2—2 用万用表判断二极管的极性

三、实验器材

四、实验容与步骤

1.用万用表测试晶体二极管

(1)判别二极管的极性

测二极管时,使用万用表的“R×100”或“R×1K”挡.这时万用表等效电路如实验图2-1所示。其中R0为等效阻,V0为表电池电压。

若用黑表笔接二极管的正极,红表笔接二极管的负极,则二极管处于正向偏置,呈现低阻,万用表指示电阻较小;反之,二极管处于反向偏置,呈现高阻,万用表指示电阻较大。据此可判断二极管的极性,测得电阻较小时,黑笔所连接的是二极管的正极,另一为负极。(3)判断二极管的好坏

方法与判别二极管极性相同,若两次没和电阻均小,则二极管部短路;若两次测得电阻均大或为∞,则二极管部开路;若两次测得的阻值差别很大,说明二极管特性较好。

2.用万用表测试晶体三极管

(1)用万用表判别三极管的管型和管脚

判别时可将三极管看成是一个背靠背的PN结,如实验图2-2所示。按照判别二极管极性的方法,可以判断出其中一极为分共正极或公共负极,此即为基极。对NPN 型管,它是公共正极;对PNP型管,则是公共负极。据此,可判别三极管的管型。当基极确定后,其余两个极可任意设为集电极和发射极。设被测管为NPN型管,将万用表黑表接假设的集电极,红表笔接假设的发射极,再将假设的集电极、发射极互换,看两次测得电阻的大小。如测得电阻较不时,假设的集电极是正确的,如为PNP管,则按上述方法红表笔接假设的集电极,黑表笔接发射极测得电阻较小时,则假设的集电极是正确的。

判别时,一般要将手指捏住基极和假设的集电极,但不要使这两极相碰。了可用一只100KΩ电阻代替手指,如实验图2-3所示。

(2)判断三极管的好坏

实验图2-4 用万用表判别NPN管Iceo的大小

(1)判断三极管的好坏。

测试时用万用表分别测试三极管集电结与发射结的正反向电阻,若两个PN结正、反向电阻正常,则三极管是好的;只要有一个PN结的正、反向电阻异常,则可判断三极管已损坏。

(2)判断电流放大倍数的大小

以NPN型三极管为例,将两个NPN管分别接入实验图2-4所示的测试电路,万用表显示阻值小的,则电流放大倍数大。

(3)判别I CEO的大小

测试电路实验图2-4所示。用万用表测试C、E间电阻,万用表所示阻值越大,表示三极管的I CEO越小。

实验三整流滤波电路

一、训练目的

1.掌握整流滤波电路的焊接与制作。

2.学会用万用表测量电源电压、用示波器观测整流及滤波输出电压的波形。

3.了解滤波电容参数对滤波效果的影响。

二、训练器材

1.示波器一台

2.指针式万用表一台

3.电烙铁、镊子、剪线钳等常用工具一套

4.整流滤波器件一套,焊锡丝、导线若干

三、训练容与步骤

(1)按照实验图3—1在电路板(或实验板)搭接电路。搭接完后,应注意检查二极管的极性是是否正确。

模拟电子技术基础实验思考题

低频电子线路实验思考题 实验一常用电子仪器的使用(P6) 1.什么是电压有效值?什么是电压峰值?常用交流电压表的电压测量值和示波器的电压直接测量值有什么不同? 答:电压峰值是该波形中点到最高或最低之间的电压值;电压有效值等于它的瞬时值的平方在一个周期内职分的平均值再取平方根。 常用交流电压表的电压测量值一般都为有效值,而示波器的电压直接测量都为峰值。 2.用示波器测量交流信号的峰值和频率,如何尽可能提高测量精度?答:幅值的测量:Y轴灵敏度微调旋钮置于校准位置,Y轴灵敏度开关置于合适的位置即整个波形在显示屏的Y轴上尽可能大地显示,但不能超出显示屏指示线外。频率测量:扫描微调旋钮置于校准位置,扫描开关处于合适位置即使整个波形在X轴上所占的格数尽可能接近10格(但不能大于10格)。 实验二晶体管主要参数及特性曲线的测试(P11) 1.为什么不能用MF500HA型万用表的R×1Ω和R×10Ω档量程测量工作极限电流小的二极管的正向电阻值? 答:根据MF500HA型万用表的内部工作原理,可知R×1Ω和R×10Ω档量程测量工作极限电流小的二极管的正向电阻值的等效电路分别为图1和图2所示,此时流过二极管的最大电流,,当I D1和I D2大于该二极管的工作极限电流时就会使二极管损坏。

图1 图2 2. 用MF500HA型万用表的不同量程测量同一只二极管的正向电阻值,其结果不同,为什么? 提示:根据二极管的输入特性曲线和指针式万用表Ω档的等效电路,结合测试原理分析回答。 答:R×1Ω:r o=9.4Ω; R×10Ω: r o=100Ω; R×100Ω: r o=1073Ω; R×1kΩ: r o=32kΩ。因为二极管工作特性为正向导通、反向截至,尤其是正向导通的输入特性曲线为一条非线性曲线。用MF500HA型万用表

西北工业大学-数字电子技术基础-实验报告-实验2

数字电子技术基础第二次实验报告 一、题目代码以及波形分析 1. 设计一款可综合的2选1多路选择器 ①编写模块源码 module multiplexer(x1,x2,s,f); input x1,x2,s; output f; assign f=(~s&x1)|(s&x2); endmodule ②测试模块 `timescale 1ns/1ps module tb_multiplexer; reg x1_test; reg x2_test; reg s_test; wire f_test; initial s_test=0;

always #80 s_test=~s_test; initial begin x1_test=0; x2_test=0; #20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; #20 x1_test=0; x2_test=0;

#20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; end multiplexer UUT_multiplexer(.x1(x1_test),.x2(x2_test),.s(s_test),.f(f_test)); endmodule ③仿真后的波形截图

④对波形的分析 本例目的是令s为控制信号,实现二选一多路选择器。分析波形图可以知道,s为0时,f 输出x1信号;s为1时,f输出x2信号。所以实现了目标功能。 2. 设计一款可综合的2-4译码器 ①编写模块源码 module dec2to4(W,En,Y); input [1:0]W; input En; output reg [0:3]Y; always@(W,En) case({En,W}) 3'b100:Y=4'b1000; 3'b101:Y=4'b0100; 3'b110:Y=4'b0010;

《模拟电子技术实验》实验指导书

北方民族大学 Beifang University of Nationalities 《模拟电子技术实验》课程指导书 北方民族大学教务处

北方民族大学 《模拟电子技术实验》课程指导书 编著杨艺丁黎明 校审杨艺 北方民族大学教务处 二〇一二年三月

《模拟电子技术实验》课程是工科类大学二年级学生必修的一门实践类课程。实验主要设备包括模拟电子技术实验箱、信号发生器、示波器、数字万用表、交流毫伏表和直流电源等。 课程教学要求是:通过该课程,学生学会正确使用常用的电子仪器,掌握三极管放大电路分析和设计方法,掌握集成运放的使用及运算放大电路各项性能的测量,学会查找并排除实验故障,初步培养学生实际工程设计能力,学会仿真软件的使用,掌握工程设计的概念和步骤,为以后学习和工作打下坚实的实践基础。 《模拟电子技术实验》课程内容包括基础验证性实验,设计性实验和综合设计实践三大部分。 基础验证性实验主要包括仪器设备的使用、双极性三极管电路的分析、负反馈放大电路的测量等内容。主要培养学生分析电路的能力,掌握电路基本参数的测量方法。 设计性实验主要包括运算电路的实现等内容。主要要求学生掌握基本电路的设计能力。 综合设计实践主要包括项目的选题、开题、实施和验收等过程,要求学生能够掌握电子产品开发的整个过程,提高学生的设计、制作、调试电路的能力。 实验要求大家认真做好课前预习,积极查找相关技术资料,如实记录实验数据,独立写出严谨、有理论分析、实事求是、文理通顺、字迹端正的实验报告。 本书前八个实验项目由杨艺老师编写,实验九由丁黎明老师编写。全书由丁黎明老师提出课程计划,由杨艺老师进行校对和排版。参与本书课程计划制订的还有电工电子课程组的全体老师。 2012年3月1日

数字电子技术基础实验

《数字电子技术基础实验》 实验报告 学院: 学号: 姓名: 专业: 实验时间: 实验地点: 2016年12月

Figure 5.51n位移位寄存器 一、实验目的及要求 编写testbench 验证Figure 5.51源代码功能,实现n位移位寄存器。 了解并熟悉移位寄存器的工作原理功能; 熟悉n位移位寄存器的逻辑功能。 所需功能:实现所需功能需要R,Clock,L,w,Q,5个变量,其中参数n 设为缺省值16,以定义触发器的个数。 当时钟信号Clock从0变为1时刻,正边沿触发器做出响应: 当L=0时,对输出结果Q进行向右移位,将w的值赋给Q的 最高位,实现移位; 当L=1时,将输入R的值寄存在Q中; 所需EDA工具及要求: Modelsim: 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测 试,观察仿真波形图并进行分析等; Synplify Pro: 1、使用Synplify Pro对Figure 5.51进行综合,得到RTL View、 Technology View、综合报表等,进行观察、分析等; 二、实验内容与步骤 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 本题实现的是一个n位移位寄存器,触发器对时钟信号Clock敏感,为正边沿敏感型。L实现对Q的控制,若L=1,则将R寄存到Q中;若L=0,则对Q向右移位。 如下图是一个4位移位寄存器 图表说明了该四位移位寄存器的移位过程

module shiftn (R, L, w, Clock, Q); parameter n = 16; input [n-1:0] R; input L, w, Clock; output reg [n-1:0] Q; integer k; always @(posedge Clock) if (L) Q <= R; else begin for (k = 0; k < n-1; k = k+1) Q[k] <= Q[k+1]; Q[n-1] <= w; end endmodule 这是可用于表示任意位宽的移位寄存器的代码,其中参数n设为缺省值16,以定义触发器的个数。R和Q的位宽用n定义,描述移位操作的else 分支语句用for循环语句实现,可适用于由任意多个触发器组成的移位操作。 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测试,观察仿真波形图并进行分析等; `timescale 1ns/1ns module shiftn_tb;

广西大学模拟电子技术实验答案汇总

实验一、 一、实验目的 1、学习电子技术实验中常用电子仪器的主要技术指标、性能和正确使用方法。 2、初步掌握用示波器观察正弦信号波形和读取波形参数的方法。 电路实验箱的结构、基本功能和使用方法。 二、实验原理 在模拟电子电路实验中,要对各种电子仪器进行综合使用,可按照信号流向,以接线简捷,调节顺手,观察与读数方便等原则进行合理布局。接线时应注意,为防止外界干扰,各仪器的公共接地端应连接在一起,称共地。 1.信号发生器 信号发生器可以根据需要输出正弦波、方波、三角波三种信号波形。输出信号电压频率可以通过频率分挡开关、频率粗调和细调旋钮进行调节。输出信号电压幅度可由输出幅度调节旋钮进行连续调节。 操作要领: 1)按下电源开关。 2)根据需要选定一个波形输出开关按下。 3)根据所需频率,选择频率范围(选定一个频率分挡开关按下)、分别调节频率粗调和细调旋钮,在频率显示屏上显示所需频率即可。 4)调节幅度调节旋钮,用交流毫伏表测出所需信号电压值。 注意:信号发生器的输出端不允许短路。 2.交流毫伏表 交流毫伏表只能在其工作频率范围内,用来测量300伏以下正弦交流电压的有效值。 操作要领: 1.为了防止过载损坏仪表,在开机前和测量前(即在输入端开路情况下)应先将量程 开关置于较大量程处,待输入端接入电路开始测量时,再逐档减小量程到适当位置。 2.读数:当量程开关旋到左边首位数为“1”的任一挡位时,应读取0~10标度尺上的 示数。当量程开关旋到左边首位数为“3”的任一挡位时,应读取0~3标度尺上的示数。 3)仪表使用完后,先将量程开关置于较大量程位置后,才能拆线或关机。 3.双踪示波器 示波器是用来观察和测量信号的波形及参数的设备。双踪示波器可以同时对两个输入信号进行观测和比较。 操作要领: 1.时基线位置的调节开机数秒钟后,适当调节垂直(↑↓)和水平(←→)位移旋 钮,将时基线移至适当的位置。

基于人机工程学的产品改良设计课程实验研究_0

基于人机工程学的产品改良设计课程实验 研究 [摘要]本文为《工业产品再设计》课程设计了一套基于人机工程学原理的产品改良设计实验方案。实验原理是运用人体生理结构反形与目标产品形态进行合成,得出新的产品造型。实验运用逆向工程技术与Morphing设计方法得出产品形态设计结果。本实验已应用于教学实践中,教学反馈证明学生容易掌握实验方法,且结论与设计效果良好。 [关键词]逆向工程;人机工程学;改良设计;实验 [DOI]10.13939/https://www.doczj.com/doc/8a17451608.html,ki.zgsc.2015.20.251 为了能够在市场竞争中取得优势,很多企业不断改良产品设计,推出新产品。因此,产品改良设计的原理与方法是工业设计专业学生所必须掌握的。《工业产品再设计》课程即是针对如何改进现有产品的工业设计专业课程。[1]人机工程学是工业设计中重要的辅助手段。[2]在工业设计领域,人机工程学为衡量产品使用舒适度提供了参考和评价标准。而在人机工程学应用于工业设计实践方面,Shenchang Eric Chen提出形态混合迁变的方法,即可以运用人体尺度数据与产品数据合成新产品造型。本文所介绍的实验方案,运用逆向工程[1][3]的方法:首先用油泥、发泡泡沫等材料

制作人体生理构造反形,再利用shape averaging[3](Morphing)法对人体反形与产品形态进行混合迁变,得出新的产品形态。本文列举两例自行车把改良设计实验。 1 实验1 本实验运用手掌持握反形(有手指凹陷)与目标车把合成新的车把造型,目的在于改良和优化现有车把与手掌生理结构的吻合度。使用带有手指凹陷构造的手掌持握反形,可以得出持握稳定的车把设计结果。 (1)利用油泥手工制作手掌持握反形(有手指凹陷),并利用数字化三维扫描仪和Stereo 3D获取其三维数据(图1)。 (2)利用数字化三维扫描仪获取目标车把(图2)三维数据。 (3)分别抽离等量的手掌持握反形(有手指凹陷)与目标车把的截面轮廓线。 (4)将两组截面轮廓线置于同一坐标系,并缩放调整位置与尺度。 (5)将两组轮廓线进行混合运算,并采取不同的Morphing比例,选择最佳混合迁变结果,其加权比例为3∶7;图4左侧为目标车把局部截面轮廓线,右侧为油泥手掌持握反形(有手指凹陷)局部截面轮廓线,红色为所选最佳结果。 (6)以步骤(5)中的结果,创建新曲面,生成最终

安全人机工程学实验指导书

安全人机工程学实验指导书 安全人机工程学 验指导湖南工学院20XX年3月 实验六深度知觉测定实验八记忆广度测量实验 实验九动作速度测定实验 实验七手指灵活性、手腕动觉方位能力测定实验六深度知觉测定实验目的 深度知觉测试是测试人的视觉在深度上的视锐程度,通 过测试可以了解双眼对距离或深度的视觉误差,也可以比较双眼和单眼在辨别深度中的差异。 实验仪器简介 采用EP503A深度知觉测试仪。主要技术指标: 1比较刺激移动速度分快慢二档: 快档50mm/s慢档25mm/s 2比较刺激移动方向可逆。±200mm 3比较刺激移动范围:400mm 4比较刺激与标准刺激的横向距离为55mm 5工作电压

220V 50HE 工作原理: 1 EP503A深度知觉测试仪结构如图2所示: 图 2 EP503A深度知 觉测试仪结构移动比较刺激,使之与标准刺激三点成一直线,在 实验 过程中,可测出被试者视觉在深度上的差异性。 2遥控键如图3所示: 图3 EP503A深度知觉测试遥控器面板示意 3面板布置如图4所示: 图4 EP503A深度知觉测试面板示意三实 验步骤 1、被试在仪器前,视线与观察窗保持水平,固定头部, 能看到仪器内两根立柱中部。2、以仪器内其中根立柱为 标准刺激,距离被试2米,位置固定。另一根可移动的立柱为变异刺激,被试可以操纵电键前后移动。 3、正式实验时,先主试将变异刺激调至任意位置,然 后要求被试仔细观察仪器内两根立柱,自调整,直至被试认为两根立柱在同一水平线上,离眼睛的距离相等为止。被试 调整后,主试记录两根立柱的实际误差值,填入下表中 4、正式实验时,先进行双眼观察20次,其中:有10吃是变异刺激在前,近到远调整; 有10次是变异刺激在后,远到近调整。顺序和距离随 机安排。

人机交互技术实验二熟悉认知心理学和人机工程学

重庆邮电大学移通学院学生实验报告 实验名称:熟悉认知心理学和人机工程学 专业班级:数字媒体技术 02141401 姓名:罗钧 学号: 2014210xxx 实验日期:

实验二:熟悉认知心理学和人机工程学 一、实验目的 (1)了解人机交互技术的研究内容; (2)熟悉认知心理学的基本概念和主要内容; (3)熟悉人机工程学的基本概念和主要内容。 二、工具/准备工作 需要准备一台带有浏览器,能够访问因特网的计算机。 三、实验内容与步骤 1.认知学的概念 (1)分析“人机界面学”的主要研究内容。 人机界面(Human Machine Interaction,简称HMI),又称用户界面或使用者界面,是人与计算机之间传递、交换信息的媒介和对话接口,是计算机系统的重要组成部分。是系统和用户之间进行交互和信息交换的媒介,它实现信息的内部形式与人类可以接受形式之间的转换。凡参与人机信息交流的领域都存在着人机界面。 (2)给出“认知心理学”的定义。 认知心理学是二十世纪50年代中期在西方兴起的一种心理学思潮,是作为人类行为基础的心理机制,其核心是输入和输出之间发生的内部心理过程。它与西方传统哲学也有一定联系,其主要特点是强调知识的作用,认为知识是决定人类行为的主要因素。 认知心理学是最新的心理学分支之一,从1950至1960年代间才发展出来的,到70年代成为西方心理学的主要流派。1956年被认为是认知心理学史上的重要年份。这一年几项心理学研究都体现了心理学的信息加工观点。如Chomsky的语言理论和纽厄尔(Alan Newell)和西蒙(Herbert Alexander simon)的“通用问题解决者”模型。“认知心理学”第一次在出版物出现是在1967年Ulrich Neisser的新书。而唐纳德·布罗德本特于1958年出版的《知觉与传播》一书则为认知心理学取向立下了重要基础。此后,认知心理取向的重点便在唐纳德·布罗德本特所指出的认知的讯息处理模式--一种以心智处理来思考与推理的模式。因此,思考与推理在人类大脑中的运作便像电脑软件在电脑里运作相似。认知心理学理论时常谈到输入、表征、计算或处理,以及输出等概念。 (3)给出“软件心理学”的定义。 软件心理学(software psychology)用实验心理学的技术和认知心理学的概念来进行软件生产的方法,即将心理学和计算机系统相结合而产生的新学科。 (4)为什么说“了解并遵循认知心理学的原理是进行人机交互界面设计的基础”?请简单阐述之。 人机界面设计,主要用理论来指导设计,了解认知心理学,一方面防止出错,另一方面用以提高工作效率。了解认知心理学,可以使设计者对用户,即使用计算机的人,有一个较为清晰的认识,也就是说对人的心理基础要有所了解,以提高人机界面设计的水平,

电子技术基础实验答案

实验一、常用电子仪器的使用 一、实验目的 1、学习电子技术实验中常用电子仪器的主要技术指标、性能和正确使用方法。 2、初步掌握用示波器观察正弦信号波形和读取波形参数的方法。 电路实验箱的结构、基本功能和使用方法。 二、实验原理 在模拟电子电路实验中,要对各种电子仪器进行综合使用,可按照信号流向,以接线简捷,调节顺手,观察与读数方便等原则进行合理布局。接线时应注意,为防止外界干扰,各仪器的公共接地端应连接在一起,称共地。 1.信号发生器 信号发生器可以根据需要输出正弦波、方波、三角波三种信号波形。输出信号电压频率可以通过频率分挡开关、频率粗调和细调旋钮进行调节。输出信号电压幅度可由输出幅度调节旋钮进行连续调节。 操作要领: 1)按下电源开关。 2)根据需要选定一个波形输出开关按下。 3)根据所需频率,选择频率范围(选定一个频率分挡开关按下)、分别调节频率粗调和细调旋钮,在频率显示屏上显示所需频率即可。 4)调节幅度调节旋钮,用交流毫伏表测出所需信号电压值。 注意:信号发生器的输出端不允许短路。 2.交流毫伏表 交流毫伏表只能在其工作频率范围内,用来测量300伏以下正弦交流电压的有效值。 操作要领: 1)为了防止过载损坏仪表,在开机前和测量前(即在输入端开路情况下)应先将量程开关置于较大量程处,待输入端接入电路开始测量时,再逐档减小量程到适当位置。 2)读数:当量程开关旋到左边首位数为“1”的任一挡位时,应读取0~10标度尺上的示数。当量程开关旋到左边首位数为“3”的任一挡位时,应读取0~3标度尺上的示数。 3)仪表使用完后,先将量程开关置于较大量程位置后,才能拆线或关机。 3.双踪示波器 示波器是用来观察和测量信号的波形及参数的设备。双踪示波器可以同时对两个输入信号进行观测和比较。 操作要领: 1)时基线位置的调节开机数秒钟后,适当调节垂直(↑↓)和水平(←→)位移旋钮,将时基线移至适当的位置。 2)清晰度的调节适当调节亮度和聚焦旋钮,使时基线越细越好(亮度不能太亮,一般能看清楚即可)。 3)示波器的显示方式示波器主要有单踪和双踪两种显示方式,属单踪显示的有“Y1”、“Y2”、“Y1+Y2”,作单踪显示时,可选择“Y1”或“Y2”其中一个按钮按下。属双踪显示的有“交 替”和“断续”,作双踪显示时,为了在一次扫描过程中同时显示两个波形,采用“交替”显示 方式,当被观察信号频率很低时(几十赫兹以下),可采用“断续”显示方式。 4)波形的稳定为了显示稳定的波形,应注意示波器面板上控制按钮的位置:a)“扫描

数字电子技术实验报告

实验一组合逻辑电路设计与分析 1.实验目的 (1)学会组合逻辑电路的特点; (2)利用逻辑转换仪对组合逻辑电路进行分析与设计。 2.实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻输入信号的取值组合。根据电路确定功能,是分析组合逻辑电路的过程,一般按图1-1所示步骤进行分析。 图1-1 组合逻辑电路的分析步骤 根据要求求解电路,是设计组合逻辑电路的过程,一般按图1-2所示步骤进 行设计。 图1-2 组合逻辑电路的设计步骤 3.实验电路及步骤 (1)利用逻辑转换仪对已知逻辑电路进行分析。 a.按图1-3所示连接电路。 b.在逻辑转换仪面板上单击由逻辑电路转换为真值表的按钮和由真值表导出 简化表达式后,得到如图1-4所示结果。观察真值表,我们发现:当四个输入变量A,B,C,D中1的个数为奇数时,输出为0,而当四个输入变量A,B,C,D 中1的个数为偶数时,输出为1。因此这是一个四位输入信号的奇偶校验电路。

(2)根据要求利用逻辑转换仪进行逻辑电路的设计。 a.问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火 灾探测器。为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。 b.在逻辑转换仪面板上根据下列分析出真值表如图1-5所示:由于探测器发出 的火灾探测信号也只有两种可能,一种是高电平(1),表示有火灾报警;一种是低电平(0),表示正常无火灾报警。因此,令A、B、C分别表示烟感、温感、紫外线三种探测器的探测输出信号,为报警控制电路的输入、令F 为报警控制电路的输出。 图1-4 经分析得到的真值表和表达式

大学《模拟电子线路实验》实验报告

大连理工大学网络高等教育《模拟电子线路》实验报告 学习中心:奥鹏教育中心 层次:高中起点专科 专业:电力系统自动化 年级: 学号: 学生姓名:杨

实验一常用电子仪器的使用 一、实验目的 答:1.了解并掌握模拟电子技术实验箱的主要功能及使用方法。 2.了解并掌握数字万用表的主要功能及使用方法。 3.学习并掌握TDS1002型数字存储示波器和信号源的基本操作方法。 二、基本知识 1.简述模拟电子技术实验箱布线区的结构及导电机制。 答:布线区面板以大焊孔为主,其周围以十字花小孔结构相结合,构成接点的连接形式,每个大焊孔与它周围的小孔都是相通的。 2.试述NEEL-03A型信号源的主要技术特性。 答:1.输出波形:三角波、正弦波、方波、二脉、四脉、八脉、单次脉冲信号; 2.输出频率:10HZ~1HZ连续可调; 3.幅值调节范围:0~10Vp-p连续可调; 4.波形衰减:20db、40db; 5.带有6位数字频率计,即可作为信号源的输出监视仪表,也可以作为外侧频率计使用。 3.试述使用万用表时应注意的问题。 答:使用万用表进行测量时,应先确定所需测量功能和量程。 确定量程的原则: 1.若已知被测参数大致范围,所选量程应“大于被测值,且最接近被测值”。 2.如果被测参数的范围未知,则选择所需功能的最大量程测量,根据粗侧结果逐步把量程下调到最接近于被测值的量程,以便测量出更加精准的数值。 如屏幕显示“1”,表明以超过量程范围,需将量程开关转至相应档位上。 3.在测量间歇期和实验结束后,不要忘记关闭电源。 三、预习题 1.正弦交流信号的峰-峰值=__2__×峰值,峰值=__√2__×有效值。 2.交流信号的周期和频率是什么关系? 答:周期和频率互为倒数。T=1/f f=1/T

(完整word版)实验二人体上肢动作特性实验.doc=安全人机工程学=湖南工学院

实验二人体上肢动作特性实验 人体上肢动作特性涉及到灵活性、稳定性及准确性。人体动作的灵活性是指操作时的动作速度与频率。动作速度是指肢体在单位时间内移动的路程;动作频率是指每秒钟或每分钟动作重复的次数。人体动作的准确性可从动作形式(方向和动作量)、速度和力量三个方面考察。这三个方面配合恰当,动作才能与客观要求相符合,才能准确。通过以下实验可了解人体上肢动作的特性以及影响动作灵活性、准确性、稳定性的因素。 实验二-1 手指的灵活性测定 一、实验目的 人体动作的灵活性是指操作时的动作速度与频率。手指灵活性测试可用于测定手指、手、手腕的灵活性,也可测定手和眼的协调能力。 二、实验原理 通过将金属细棒插入实验板的圆孔中所需时间,测试手指动作灵活性以及手眼协调能力。比较手指插棒的运动顺序不同的所需时间验证人体上肢运动特性受影响的因素。 三、实验装置与测试仪器 采用BD-II-601型手指灵活性测试仪(见图2-1),该仪器的主要技术参数如下: 1.实验板圆孔:直径1.6mm,100个,各孔中心距20mm; 2.金属插棒:直径1.5mm,长度20mm,110个; 3.记时:1ms~9 999s,4位数字显示,内藏式整体结构; 4.记时开始与结束可按键,也可以由金属棒插入左上角第1个孔与右上角后1个孔自动进行; 5.实验用镊子:1把。 图2-1 手指灵活性测试仪

图2-2 手指灵活性测试仪面板示意图 四、实验内容 1.金属插棒放入左侧槽中,优势手拿起右侧槽中的镊子; 2.被试用镊子将左侧槽中的金属棒插入实验板的圆孔中,插入顺序分以下四种: ①先插开始位,从上至下,再从下至上,……依次逐列插入,最后插终止位; ②先插开始位,从上至下,再从第2列开始由上至下,……依次逐列插入,最后插终止位; ③先插开始位,从左至右,再从第2行由右边第一个开始至左,……依次逐行插入,最后插终止位; ④先插开始位,从左至右,再从第二行开始由左至右,……依次逐行插入,最后插终止位; 记时会自动开始,到插终止位时结束,并记录插入100个棒所需时间于表2-2; 3.每次重新开始需按“复位”键清零 五、数据整理与分析 1.测量数据 表2-2 手指的灵活性测定数据 顺序 ①②③④ 次数 1 2 3 4 平均时间

大连理工大学 《模拟电子线路实验》实验报告

网络高等教育 《模拟电子线路》实验报告 学习中心:咸阳远程网络教育学校奥鹏学习中心 层次:高中起点专科 . 专业:电力系统自动化技术 . 年级: 2015 年春季 . 学号 161586128155 . 学生姓名:惠伟 .

实验一常用电子仪器的使用 一、实验目的 1.了解并掌握模拟电子技术实验箱的主要功能及使用方法。 2.了解并掌握数字万用表的主要功能及使用方法。 3.学习并掌握TDS1002 型数字存储示波器和信号源的基本操作方法。 二、基本知识 4.简述模拟电子技术实验箱布线区的结构及导电机制。 答:模拟电子技术试验箱布线区:用来插接元件和导线,搭建实验电路。配有2 只8 脚集成电路插座和 1 只14 脚集成电路插座。结构及导电机制:布线区面板以大焊孔为主,其周围以十字花小孔结构相结合,构成接点的连接形式,每个大焊孔与它周围的小孔都是相通的。 5.试述NEEL-03A型信号源的主要技术特性。 答:NEEL-03A 型信号源的主要技术特性: ①输出波形:三角波、正弦波、方波、二脉、四脉、八脉、单次脉冲信号; ②输出频率:10Hz~1MHz 连续可调; ③幅值调节范围:0~10VP-P 连续可调; ④波形衰减:20dB、40dB; ⑤带有 6 位数字频率计,既可作为信号源的输出监视仪表,也可以作外侧频率计用。 注意:信号源输出端不能短路。 6.试述使用万用表时应注意的问题。 答:应注意使用万用表进行测量时,应先确定所需测量功能和量程。确定量程的原则: ①若已知被测参数大致范围,所选量程应“大于被测值,且最接近被测值”。 ②如果被测参数的范围未知,则先选择所需功能的最大量程测量,根据初测结果逐步把量程下调到最接近于被测值的量程,以便测量出更加准确的数值。如屏幕显示“1”,表明已超过量程范围,须将量程开关转至相应档位上。

电子技术基础实验答案

电子技术基础实验答案 导语:在模拟电子电路实验中,要对各种电子仪器进行综合使用,可按照信号流向,以接线简捷,调节顺手,观察与读数方便等原则进行合理布局。以下为大家介绍电子技术基础实验答案文章,欢迎大家阅读参考! 实验一、常用电子仪器的使用 1、学习电子技术实验中常用电子仪器的主要技术指标、性能和正确使用方法。 2、初步掌握用示波器观察正弦信号波形和读取波形参数的方法。 电路实验箱的结构、基本功能和使用方法。 在模拟电子电路实验中,要对各种电子仪器进行综合使用,可按照信号流向,以接线简捷,调节顺手,观察与读数方便等原则进行合理布局。接线时应注意,为防止外界干扰,各仪器的公共接地端应连接在一起,称共地。 1.信号发生器 信号发生器可以根据需要输出正弦波、方波、三角波三种信号波形。输出信号电压频率可以通过频率分挡开关、频率粗调和细调旋钮进行调节。输出信号电压幅度可由输出幅度调节旋钮进行连续调节。 操作要领:

1)按下电源开关。 2)根据需要选定一个波形输出开关按下。 3)根据所需频率,选择频率范围、分别调节频率粗调和细调旋钮,在频率显示屏上显示所需频率即可。 4)调节幅度调节旋钮,用交流毫伏表测出所需信号电压值。 注意:信号发生器的输出端不允许短路。 2.交流毫伏表 交流毫伏表只能在其工作频率范围内,用来测量300伏以下正弦交流电压的有效值。 1)为了防止过载损坏仪表,在开机前和测量前应先将量程开关置于较大量程处,待输入端接入电路开始测量时,再逐档减小量程到适当位置。 2)读数:当量程开关旋到左边首位数为“1”的任一挡位时,应读取0~10标度尺上的示数。当量程开关旋到左边首位数为“3”的任一挡位时,应读取0~3标度尺上的示数。 3)仪表使用完后,先将量程开关置于较大量程位置后,才能拆线或关机。 3.双踪示波器 示波器是用来观察和测量信号的波形及参数的设备。双踪示波器可以同时对两个输入信号进行观测和比较。

人机工程学实验报告资料

人机工程学实验报告Hust工业设计专业,人机工程课程实验报告

必做实验(7个): 一、镜画仪: 是一项目动作技能迁移的实验。因通过镜子反射,和原图形相比镜中图像是上下倒置而左右不变。 实验一 实验二 自变量:试验次数 因变量:出错次数、使用时间 实验数据分析结果:1.随着实验次数的增加,实验者不变,但是其所用时间及错误次数都在变少,熟练程度明显增加。 2.在同样的情况和同样的图案上,实验的后一次测验比前一次的测验有所进步,就为正迁移效果。

二、光亮度辨别仪 光亮度辨别仪的作用:心理学中常用的一种视觉实验仪器。它可以测定明度差别阈限,也可以制作明度量表。 自变量:光亮度真实值 因变量:实际测量值、差值 实验数据分析结果:随着光亮度的增加,实验者对于光的敏感度下降,误差变大。 应用范围:可调节亮度的台灯,它的优点在于调节亮度的装置消耗的电能极少,节约了电能,减少了不必要的损耗,灯的亮度可根据不同的天气,不同的时间,人们不同的需求,调节不同的亮度,方便人们的生活。

三、瞬时记忆实验仪 仪器同时呈现一组随机数字或字母,在部分报告法实验中,要求被试再现当时指定的一部分,然后在指定的时间内通过大脑记录下来。 自变量:瞬时刺激时间 因变量:记忆保存量 实验数据分析结果:人的大脑在瞬时记忆中,记忆的时间越长,准确率越高。

四、记忆广度测试仪 适用于心理特点测定中的数字记忆广度实验和提高记忆力的训练。并具有同时测量被试视觉、记忆、反应速度三者结合能力的功能,是一种常用的心理学测量仪器。 自变量:不同的实验者 因变量:记忆广度分数、出错位数 实验数据分析结果:因为人与人的不同,其记忆能力不同,有记忆广度大的,也有记忆广度小的。 应用范围:用在小孩子的智力玩具上,刺激小孩子对数字的认识和敏感性,提高记忆力和反映能力,同时可以很好的帮助小孩子注意力的集中。

模拟电子技术实验II指导书(2017版)

模拟电子技术实验II 教学指导书 课程代码:021********* 湘潭大学 信息工程学院 2017年10月8日

前言 一、实验总体目标 本课程为电子信息类专业本科生的学科基础课程。通过实验培养学生理论联系实际的能力,提高学生的动手能力、分析问题和解决问题的能力。通过规范的实验操作训练,使学生学会操作常用的电子仪器设备,掌握基本的模拟电路构建方法和实验调试的基本技能。 1.掌握常用电子仪器的选用及测试方法。 2.针对简单的模拟电路,能正确调试电路参数,掌握基本参数测试与功能分析方法。 3.针对简单的工程问题,能依据实验故障现象,分析问题并解决问题。 4.能正确观察实验现象、记录实验数据、并自拟部分数据表格,并通过正确分析实验结果,得出结论,撰写符合要求的实验报告。 5. 具备电子电路仿真软件的初步应用能力。 二、适用专业年级 电子信息类专业二年级本科学生。 三、先修课程 大学物理、电路分析基础、模拟电子技术实验II 四、实验项目及课时分配 五、实验环境 模拟电路实验台:72套。主要配置:多种模拟电路实验模块、直流电压源、直流电压表、万用表、信号发生器、示波器、交流毫伏表等,仿真实验配置:PC机、Multisim 10电路仿真分析仿真软件。 六、实验总体要求 1、每次实验前预习实验原理,做好实验方案设计和理论计算,仿真分析观察与测试,提交实验预习报告; 2、正确使用电压表、万用表、信号发生器、示波器、交流毫伏表等实验设备; 3、按电路图联接实验线路和合理布线,能初步分析并排除故障; 4、具有根据实验任务确定实验方案、设计实验线路和选择仪器设备的初步能力; 5、认真观察实验现象,正确读取实验数据和记录实验波形并加以检查和判断,分析实验结果,正确撰写实验报告。

人机工程学实验

实验一:双手调节器 1.实验目的 2.实验介绍和实验思路:双手调节器是一种典型的动作技能操作仪器。它是通过双手的操 作合作完成设定的曲线轨迹的运动,即是右手完成目标的上下移动,左手完成目标的左右移动。以被试完成任务所用的时间及偏离轨迹的次数,作为衡量其多次练习后的进步水平。 3.实验过程:分两项实验 第一种:自变量:同一个人的被实验次数即练习遍数。(每人四次,左右单程各两次)因变量:走完单程过程中个出错次数和时间 双手协调能力测试实验中的被试者完成实验的时间及错误次数数据统计分析如下:

根据实验结果绘制的练习曲线如下,用练习遍数作横坐标,用完成任务所用时间及出错次数为纵坐标,做出示意图为: 4.实验结论:完成任务所用的时间及每遍练习中的错误次数随着练习遍数的增加总体趋势 偶尔也会错误次数和时间略有增加。 实验二:瞬时记忆 1.实验目的:证实瞬时记忆的现象及其性质。 2.实验(方案一)思路:恒定变量设为1,自变量为设定秒数,因变量为报对码数目。 方案一数据:

根据图表可知,在设定时间不断减少的情况下,学生答对的图码数目不断减少。 (方案二)实验思路:恒定变量为时间(0.4秒),自变量为图码行数不同,因变量为记忆图码正确数量。 方案二数据:

根据图表可知,当被测试者接收一行图码信息时,思路清晰,记忆较快,当被测试者接收两行图码信息时,记忆速度不如一行图码快。 3.实验总结:1. 在设定时间不断减少的情况下,学生答对的图码数目不断减少。 2. 瞬间记忆在0.4秒情况下,记忆的合理码数在 3.2—3.5之间。 实验三:记忆广度 1.实验目的:学习测定光简单反应时的程序,比较光简单反应时的个体差异,通过测定闪光融合领率.学习使用阶梯法测定感觉阈限 2. 实验介绍和实验思路: 影响短时记忆广度的因素很多,组块的大小,熟悉性,复杂性等都会影响短时记忆的容量设自变量为计位数,因变量为正确个数,测试正确率: 3.根据数据分析结果: 随着计位数的不断增加,实验者按对的个数不断减少,正确率越来越低, 这说明人的记忆广度有限,所以在适当的记忆时间内,应设计相应的可记忆的内容,严防记忆过载。从另一方面讲了解短时记忆的特点,选择正确的方法加以训练,有助于个人记忆的

(完整word版)安全人机工程学综合实验指导书20131

《安全人机工程学》实验指导书 杨轶芙编 实验学时:6学时

目录 实验一手指灵活性测试 ................................................................... - 1 -实验二动觉方位辨别能力的测定 ..................................................... - 3 -实验三暗适应测试实验 ..................................................................... - 5 -实验四明度适应测试 ......................................................................... - 8 -实验五选择、简单反应时测定实验............................................... - 10 -实验六听觉实验 ............................................................................... - 15 -实验七动作稳定性测试 ................................................................... - 22 -

实验一手指灵活性测试 『实验目的』 测定手指、手、手腕灵活性以及手眼协调能力。 『实验仪器』 采用EP707A型手指灵活性测试仪。 该仪器的主要技术参数如下: 1、手指灵活性测试100孔 2、指尖灵活性测试M6、M5、M4、 M3螺栓各25个 3、计时范围0~9999.99秒 4、电源电压220V 50HZ 5、消耗功率10W 6、外形尺寸505×310×48 7、重量3.5千克(净重) 『实验内容』 (一)手指灵活性测试(插孔插板) 1、使用者接上电源打开电源开关,此时计时器即全部显示为0000. 00。然后插上手指灵活性插板(有100个φ 1.6mm 孔),按复位按键被试即可进行测试。 2、被试用优势手拿住镊子钳住φ1.5针,插入开始位,计时器开始计时 3、依次用镊子(从左至右,从上至下)钳住φ1.5针插满100个孔,最后插终止位,计时会自动结束,记录下插入100个棒所需要的时间; 4、每次重新开始需按“复位”键清零。 (二)指尖灵活性测试 1、使用者接上电源打开电源开关,此时计时器即全部显示为0000. 00。然后插上指尖灵活性插板(M6、M5、M4、M3螺栓各25个),按复位按键被试即可进行测试。 2、当被试用优势手放入起始点第一个M6垫圈起,计时器开始计时,然后

电子技术基础实验报告

电子技术实验报告 学号: 222014321092015 姓名: 刘 娟 专业: 教育技术学 实验三 单级交流放大器(二) 一、 实验目的 1. 深入理解放大器的工作原理。 2. 学习测量输入电阻、输出电阻及最大不失真输出电压幅值的方法。 3. 观察电路参数对失真的影响. 4. 学习毫伏表、示波器及信号发生器的使用方法。 二. 实验设备: 1、实验台 2、示波器 3、数字万用表 三、预习要求 1、熟悉单管放大电路。 2、了解饱和失真、截止失真和固有失真的形成及波形。 3、掌握消除失真方法。 四、实验内容及步骤 ● 实验前校准示波器,检查信号源。 ● 按图3-1接线。 图3-1 1、测量电压参数,计算输入电阻和输出电阻。 ● 调整RP2,使V C =Ec/2(取6~7伏),测试V B 、V E 、V b1的值,填入表3-1中。 表3-1 ● 输入端接入f=1KHz 、V i =20mV 的正弦信号。 ● 分别测出电阻R 1两端对地信号电压V i 及V i ′按下式计算出输入电阻R i : ● 测出负载电阻R L 开路时的输 出电压V ∞ ,和接入R L (2K )时的输出电压V 0 , 然后按下式计算出输出电阻R 0; 将测量数据及实验结果填入表3-2中。 2 中。 ● 输入信号不变,用示波器观察正常工作时输出电压V o 的波形并描画下来。 ● 逐渐减小R P2的阻值,观察输出电压的变化,在输出电压波形出现明显失真时,把失

真的波形描画下来,并说明是哪种失真。( 如果R P2=0Ω后,仍不出现失真,可以加大输入信号V i ,或将R b1由100K Ω改为10K Ω,直到出现明显失真波形。) ● 逐渐增大R P2的阻值,观察输出电压的变化,在输出电压波形出现明显失真时,把失 真波形描画下来,并说明是哪种失真。如果R P2=1M 后,仍不出现失真,可以加大输入信号V i ,直到出现明显失真波形。 表 3-3 ● 调节R P2使输出电压 波形不失 真且幅值 为最大(这 时的电压放大倍数最大),测量此时的静态工作点V c 、V B 、V b1和V O 。 表 3-4 五、实验报告 1、分析输入电阻和输出电阻的测试方法。 按照电路图连接好电路后,调节RP2,使Vc 的值在6-7V 之间,此时使用万用表。接入输入信号1khz 20mv 后,用示波器测试Vi 与Vi ’,记录数据。用公式计算出输入电阻的值。在接入负载RL 和不接入负载时分别用示波器测试Vo 的值,记录数据,用公式计算出输出电阻的值。 2、讨论静态工作点对放大器输出波形的影响。 静态工作点过低,波形会出现截止失真,即负半轴出现失真;静态工作点过高,波形会出现饱和失真,即正半轴出现失真。 实验四 负反馈放大电路 一、 实验目的 1、熟悉负反馈放大电路性能指标的测试方法。 2、通过实验加深理解负反馈对放大电路性能的影响。 二、实验设备 1、实验台 2、示波器 3、数字万用表 三、预习要求 1、熟悉单管放大电路,掌握不失真放大电路的调整方法。 2、熟悉两级阻容耦合放大电路静态工作点的调整方法。 3、了解负反馈对放大电路性能的影响。 四、实验电路

模拟电子技术实验指导书

《模拟电子技术》实验教学指导书课程编号:1038181007 湘潭大学 信息工程学院电工与电子技术实验中心 2007年11月30日

前言 一、实验总体目标 通过实验教学,使学生巩固和加深所学的理论知识,培养学生运用理论解决实际问题的能力。学生应掌握常用电子仪器的原理和使用方法,熟悉各种测量技术和测量方法,掌握典型的电子线路的装配、调试和基本参数的测试,逐渐学习排除实验故障,学会正确处理测量数据,分析测量结果,并在实验中培养严肃认真、一丝不苟、实事求是的工作之风。 二、适用专业年级 电子信息工程、通信工程、自动化、建筑设施智能技术等专业二年级本科学生。 三、先修课程 《高等数学》、《大学物理》、《电路分析基础》或《电路》。 网络化模拟电路实验台:36套(72组) 主要配置:数字存储示波器、DDS信号发生器、数字交流毫伏、模块化单元电路板等。 六、实验总体要求 本课程要求学生自己设计、组装各种典型的应用电路,并用常用电子仪器测试其性能指标,掌握电路调试方法,研究电路参数的作用与影响,解决实验中可能出现各种问题。 1、掌握基本实验仪器的使用,对一些主要的基本仪器如示波器、、信号发生器等应能较熟练地使用。 2、基本实验方法、实验技能的训练和培养,牢固掌握基本电路的调整和主要技术指标的测试方法,其中还要掌握电路的设计、组装等技术。 3、综合实验能力的训练和培养。 4、实验结果的处理方法和实验工作作风的培养。

七、本课程实验的重点、难点及教学方法建议 本课程实验的重点是电路的正确连接、仪表的正确使用、数据测试和分析; 本课程实验的难点是电路的设计方法和综合测试与分析。 在教学方法上,本课程实验应提前预习,使学生能够利用原理指导实验,利用实验加深对电路原理的理解,掌握分析电路、测试电路的基本方法。

相关主题
文本预览
相关文档 最新文档