当前位置:文档之家› 最新数字电子技术基础电子教案——第1章 数字电子技术理论基础

最新数字电子技术基础电子教案——第1章 数字电子技术理论基础

最新数字电子技术基础电子教案——第1章  数字电子技术理论基础
最新数字电子技术基础电子教案——第1章  数字电子技术理论基础

《数字电子技术基础》电子教案

福建省闽侯职专电子电工组郑屹

第1章数字电子技术理论基础

数字电路是以数字量为研究对象的电子电路。本章主要讨论数字电子技术的基础理论知识,包括计数体制,逻辑代数及其化简。同时,还给出了逻辑函数的概念、表示方法及相互转换。

1.1 数字电路概述

1.1.1 数字信号与数字电路

电子电路中的信号可分为两类,一类在时间和幅度上都是连续的,称为模拟信号,如图1.1所示,例如电压、电流、温度、声音等信号。传送和处理模拟信号的电路称为模拟电路;

图1.1 模拟信号

另一类在时间和幅度上都是离散的,称为数字信号,如图1.2所示,例如计时装置的时基信号、灯光闪烁等信号都属于数字信号。传送和处理数字信号的电路称为数字电路。

图1.2 数字信号

数字电路的特点

(1)信号是离散的数字信号。数字信号常用0、1二元数值表示。

(2)半导体器件均工作在开关状态,即工作在截止区和饱和区。

(3)研究的主要问题是输入、输出之间的逻辑关系。

(4)主要分析工具是逻辑代数。

1.2 数制和码制

1.2.1 数制

数制即指计数的方法,日常生活中最常用的是十进制计数,而在数字电路和计算机中最常用的是二进制、八进制和十六进制。

1. 十进制数

十进制数的每一位都采用0~9共10个数码中的任何一个来表示,十进制的计数基数是10,超过9就必须用多位数来表示。其相邻的低位和高位间的运算关系是“逢十进一”,即9+1=10

2. 二进制数

二进制计数体制中只有0和1两个数码,其基数是2,运算规律是“逢二进一”,即1+1=10

3. 八进制数

八进制数有0~7共8个数码,计数基数是8,运算规律是“逢八进一”,即7+1=10

4. 十六进制数

十六进制中有0~9,A(10),B(11),C(12),D(13),E(14),F(15)共16个不同的数码,计数基数是16,运算规律是“逢十六进一”,即F+1=10

1.2.2 数制转换

1. 十进制数与二进制数的相互转换

(1)二进制数转换成十进制数

二进制数转换成十进制数的方法是按权展开,再求加权系数之和。

(2)十进制数转换为二进制数

十进制数转换为二进制数时,对整数部分可采用“除2取余、逆序排列”法,对小数部分可采用“乘2取整、顺序排列”法。

2. 十进制数与其他进制数的相互转换

当十进制数转换为其他进制数时,可将十进制数分为整数和小数两部分进行。整数部分的转换采用“除基取余,逆序排列”法。小数部分的转换采用“乘基取整,顺序排列”法。

当其他进制数转换为十进制数时,可将其他进制数按加权系数展开式展开,求得的和即为相应的十进制数。

3. 二进制数与八进制数的相互转换

(1)二进制数转换为八进制数

二进制数转换为八进制数时,可将二进制数由小数点开始,整数部分向左,小数部分向右,每3位分成一组,不够3位补零,则每组二进制数便是一位八进制数。

(2)八进制数转换为二进制数

八进制数转换为二进制数时,只要将每位八进制数用3位二进制数表示即可。

4. 二进制数与十六进制数的相互转换

(1)二进制数转换为十六进制数

二进制数转换为十六进制数时,只要将二进制数的整数部分自右向左每4位一组,不足4位时在左边补零;小数部分则自左向右每4位一组,最后不足4位时在右边补零。再把每4位二进制数对应的十六进制数写出来即可。

(2)十六进制数转换为二进制数

十六进制数转换为二进制数时正好与(1)所述相反,只要将每位的十六进制数对应的4位二进制写出来就行了。

在数制使用时,常将各种数制用简码来表示:如十进制数用D表示或省略;二进制用B来表示;八进制用O来表示;十六进制数用H来表示。如:十制数123表示为123D或者123;二进制数1011表示为1011B;八进制数173表示为173O;十六进制数3A4表示为3A4H。

1.2.3 码制

数码不但可以用来表示数量的大小,还可以用来表示不同的事物。当用数码作为代号表示事物的不同时,称其为代码。一定的代码有一定的规则,这些规则称为码制。给不同事物赋予一定代码的过程称为编码。

1. 8421码

2. 2421码

3. 5421码

4. 余3码

5. 格雷(Gray)码

1.3 逻辑函数及其表示方法

1.3.1 逻辑代数

逻辑代数又叫布尔代数或开关代数,是由英国数学家乔治·布尔于1847年创立的。逻辑代数与普通代数都由字母来代替变量,但逻辑代数与普通代数的概念不同,它不表示数量大小之间的关系,而是描述客观事物一般逻辑关系的一种数学方法。

逻辑变量的取值只有两种,即逻辑0和逻辑1,它们并不表示数量的大小,而是表示两种对立的逻辑状态,如开关的通与断、电位的高与低、灯的亮与灭等。0和1称为逻辑常量。

例如,在图1.3所示的指示灯控制电路中,我们用字母Y表示指示灯,用A、B 表示两个开关。指示灯Y的亮与灭两种状态取决于开关A、B的通断状态。我们将A、B称为输入逻辑变量,将Y称为输出逻辑变量。

图1.3 指示灯控制电路

逻辑代数有两种逻辑体制,其中,正逻辑体制规定,高电平为逻辑1,低电

平为逻辑0;负逻辑体制规定,低电平为逻辑1,高电平为逻辑0。

1.3.2 三种基本逻辑运算

在逻辑代数中有三种基本的逻辑运算:与运算、或运算、非运算。

1. 与运算

只有当决定一件事情的所有条件都具备时,这件事情才会发生,这种因果关系称为“与”逻辑运算。

在逻辑代数中,与逻辑运算又叫逻辑乘,两变量的与运算可用逻辑表达式表示为:Y=A·B

读作“Y等于A与B”。意思是:若A、B均为1,则Y为1;否则Y为0。与运算规则可以归纳为“有0出0,全1为1”。

数字电路中,实现与逻辑关系的逻辑电路称为与门,其逻辑电路符号如图1.4所

图1.4 与逻辑电路符号

2. 或运算

当决定事件发生的条件具备一个或一个以上时,事件就发生;只有当所有条件均不具备时,事件才不会发生。这种因果之间的关系就是“或”逻辑的运算关系。例如,在图1.5所示的电路中,只要开关A、B中任意一个接通或者两个都接通,灯就亮;只有当开关A、B均断开时,灯才不亮。

图1.5 或逻辑关系电路

在逻辑代数中,或逻辑运算又叫逻辑加,两变量的或运算可用逻辑表达式表示为:Y=A+B

读作“Y等于A或B”,意思是:若A、B均为0,则Y为0;否则Y为1。或运算规则可以归纳为“全0出0,有1为1”。

在数字电路中,实现或逻辑关系的逻辑电路称为或门,其逻辑电路符号如图1.6所示。

图1.6 或逻辑电路符号图

3. 非运算

非运算关系是,当条件具备时,事件不发生;当条件不具备时,事件能发生。即某事件发生与否,仅取决于一个条件,而且是对该条件的否定。

例如,在图1.7所示电路中,当开关A接通时,灯Y不亮;而当开关A断开时,灯Y亮。

图1.7非逻辑关系电路

在逻辑代数中,非逻辑运算又称逻辑反。非逻辑关系的表达式为:Y=A

读作“Y等于A非”,意思是:若A为0,则Y为1;若A为1,则Y为0。非逻辑运算规则可以归纳为“有0出1,是1为0”。非逻辑电路符号如图1.8所示。

图1.8 非逻辑电路

1.3.3常用的复合逻辑运算

复合逻辑是指由与、或、非3种基本逻辑关系组合而成的逻辑关系。常用的

复合逻辑运算主要包括:与非、或非、与或非、异或、同或等。

1. 与非

与非逻辑运算是由与、非两种基本运算按照“先与后非”的顺序复合而成的。

图1.9 与非逻辑符号

2. 或非

或非逻辑运算是由或、非两种基本运算按照“先或后非”的顺序复合而成的。

图1.10 或非逻辑符号

3. 与或非

与或非逻辑运算是由与、或、非3种基本运算按照“先与后或再非”的顺序复合而成的。

图1.11与或非逻辑符号

4. 异或

异或是一种二变量逻辑运算,当两个变量不同时,输出为1;当两个变量相同时,输出为0,即“不同为1,相同为0”。

图1.12 异或逻辑符号

5. 同或

同或也是一种二变量逻辑运算,当两个变量相同时,输出为1;当两个变量不同时,输出为0,即“相同为1,不同为0”。

图1.13 同或逻辑符号

1.3.4 逻辑函数的表示方法及相互转换

逻辑函数常用的表示方法有5种:逻辑真值表,逻辑函数表达式,逻辑图,波形图和卡诺图。

1. 逻辑真值表

逻辑真值表是将输入变量的各种可能取值和相应的函数值排列在一起组成的表格,一个确定的逻辑函数只有一个逻辑真值表,具有惟一性。

逻辑真值表能够直观明了地反映变量取值和函数值的对应关系,但输入变量较多时,列写起来比较繁琐,它是将实际问题抽象为逻辑问题的首选描述方法。

2. 逻辑函数表达式

逻辑函数的表达式不是惟一的,可以有多种形式,并且能互相转换。逻辑函数的特点是:简洁、抽象,便于化简和转换。

3. 逻辑图

与、或、非等运算关系用相应的逻辑符号表示出来,就是函数的逻辑图。例如,异或逻辑关系也可用如图1.14所示的逻辑图来表示。

优点是:逻辑图与数字电路的器件有明显的对应关系,便于制作实际电路。缺点是不能直接进行逻辑推演和变换。

图1.14 异或逻辑关系的逻辑图

4. 波形图

反映输入和输出波形变化规律的图形,称为波形图,也称为时序图。异或逻辑关系中,当给定A、B的输入波形后,可画出函数Y的波形,如图1.15所示。

图1.15 异或逻辑关系的波形图

波形图的优点是,能直观反映变量与时间的关系和函数值变化的规律,它与实际电路中的电压波形相对应。

5. 各种表示方法之间的相互转换

同一逻辑函数可以用几种不同的方式来表示,这几种表示方法之间必然可以相互转换。

由真值表写出逻辑函数的一般步骤如下。

(1)找出真值表中使输出Y=1的那些输入变量的组合。

(2)每组输入变量的取值组合对应一个乘积项,其中变量取值为1的用原变量表示,取值为0的用反变量表示。

(3)将这些乘积项相加,得到的即为真值表对应的逻辑函数表达式。

1.4 逻辑代数的基本定律和规则

1.4.1 逻辑代数的基本定律

逻辑代数中有10个基本定律。反演律也叫摩根(Morgon)定律,是数字逻辑变换中经常要用到的定律,应重点掌握。反演律说明了如何利用非运算实现与、或运算之间的变换,该定律还可以推广为多变量的形式。

1.4.2 逻辑代数的基本规则

逻辑代数有3个重要的规则:代入规则、对偶规则和反演规则。

1. 代入规则

在任何一个逻辑等式中,如果以某个逻辑变量或逻辑函数同时取代等式两端的任何一个逻辑变量,则等式依然成立。这个规则称为代入规则。例如,在反演律中用BC去代替等式中的B,则新的等式仍成立。

2. 对偶规则

若将逻辑函数Y中的“·”变为“+”,“+”变为“·”;“0”变为“1”,“1”变为“0”;而变量保持不变,那么得到的新逻辑函数表达式称为函数Y的对偶式,用Y′表示,也可以说Y和Y′互为对偶式。

对偶规则的内容是:如果两个逻辑函数表达式相等,它们的对偶式也一定相等。

3. 反演规则

如果将逻辑函数表达式Y中的“·”变为“+”,“+”变为“·”;“0”变为“1”,“1”变为“0”;原变量变为反变量,反变量变为原变量,那么新得到的逻辑函数表达式就是函数Y的反函数Y,这一规则称为反演规则。利用反演规则可以方便地求得一个函数的反函数

使用反演规则时,应注意以下两点。

(1)要保持原函数中的运算符号的优先顺序不变,即要先括号,然后与,最后或。

(2)不属于单个变量上的非号要保留不变。

1.5 逻辑函数的公式化简法

1.5.1 逻辑函数的不同表达方式

同一逻辑函数可以有多种不同的表达方式,它们之间能互相转换。

1.5.2 逻辑函数的公式化简法

在逻辑电路设计中,对逻辑函数化简具有十分重要的意义。逻辑函数表达式越简单,实现该函数所用的逻辑元件就越少,电路的可靠性就越高。一般情况下,都将逻辑函数化为最简与或表达式。最简与或表达式应遵循乘积项最少,且每个乘积项的变量数最少的原则。

1.6 逻辑函数的卡诺图化简法

在应用公式法对逻辑函数进行化简时,不仅要求对公式能熟练应用,而且对最后结果是不是最简要进行判断,遇到较复杂的逻辑函数时,此方法有一定难度。下面介绍的卡诺图化简法,只要掌握了其要领,化简逻辑函数非常方便。

1.6.1逻辑函数的最小项及其表达式

1. 最小项的定义与性质

在n变量的逻辑函数中,若其与或表达式的每个乘积项都包含有n个因子,而且每个因子仅以原变量或反变量的形式在该乘积项中出现一次,这样的乘积项称为n变量逻辑函数的最小项。每个乘积项都是最小项形式的表达式称为逻辑函数的最小项表达式。

最小项的性质:

(1)对于输入变量的任何一组取值,有且只有一个最小项的值为1。

(2)对于变量的任一组取值,任意两个最小项的乘积为0。

(3)全体最小项之和为1。

注意:不说明变量数目的最小项是没有意义的。

2. 逻辑函数的最小项表达式

任何一个逻辑函数表达式都可以转化为最小项之和的形式。方法是,先将逻辑函数写成与或表达式,然后在不是最小项的乘积项中乘以(X+X)补齐所缺变量因子即可。

1.6.2 逻辑函数的卡诺图表示法

1. 最小项的卡诺图

图1.20 三变量的卡诺图

图1.21 四变量的卡诺图

注意:为了确保卡诺图中小方格所表示的最小项在几何上相邻时,在逻辑上也有

相邻性,两侧标注的数码不能从小到大依次排列。

除几何相邻的最小项有逻辑相邻的性质外,图中每一行或每一列两端的最小项也具有逻辑相邻性,因此,卡诺图可看成是一个上下左右闭合的图形。

卡诺图形象、直观地反映了最小项之间的逻辑相邻关系,但变量增多时,卡诺图会变得更为复杂。当变量的个数在5个或5个以上时,就不能仅用二维空间的几何相邻来代表其逻辑相邻,故一般较少使用。

2. 逻辑函数的卡诺图表示

既然任何逻辑函数式都可以表达成最小项形式,而最小项又可以表示在卡诺图中,故逻辑函数可用卡诺图表示。方法是:把逻辑函数式转换成最小项表达式,然后在卡诺图上与这些最小项对应的方格内填1,其余填0(也可以不填),就得到了表示这个逻辑函数的卡诺图。任一逻辑函数的卡诺图是惟一的。

1.6.3 用卡诺图化简逻辑函数

1. 化简依据

相邻最小项的合并规律是:两个相邻的最小项可合并为一项,消去一个变量;4个相邻的最小项可合并为一项,消去两个变量;8个相邻的最小项可合并为一项,并消去3个变量。消去的是包围圈中发生过变化的变量,而保留下的是包围圈内保持不变的变量,如图1.23所示。

图1.23 最小项的合并规律

2. 化简步骤

用卡诺图化简逻辑函数的步骤如下。

(1)将逻辑函数化成最小项之和的形式(有时可以跳过)。

(2)用卡诺图表示逻辑函数。

(3)对可以合并的相邻最小项(填1的方格)画出包围圈。

(4)消去互补因子,保留公共因子,写出每个包围圈合并后所得的乘积项。

用卡诺图化简时,为了保证结果的最简化和正确性,在选取可合并的最小项即画包围圈时,应遵循以下几个原则。

(1)每个包围圈只能包含2n个填1的小方格,而且必须是矩形或正方形。(2)包围圈能大勿小。包围圈越大,消去的变量就越多,对应乘积项的因子就越少,化简的结果越简单。

(3)包围圈个数越少越好。因个数越少,乘积项就越少,化简后的结果就越简单。

(4)画包围圈时,最小项可以被重复包围,但每个包围圈中至少应有一个最小项是单独属于自己的,以保证该化简项的独立性。

(5)包围圈应把函数的所有最小项都圈完。

1.7 具有无关项的逻辑函数及其化简

1.7.1 逻辑函数中的约束项

在有些逻辑函数中,输入变量的取值不是任意的,对某些取值要加以限制。这种主观上不允许出现或客观上不会出现的变量取值组合所对应的最小项称为约束项。

另一种情况是,对于输入变量的某些取值,函数值为1或为0均可,不影响电路的功能。例如,在用二进制码来表示十进制数时,ABCD=0000~1001代表0~9,而ABCD=1010~1111没有采用,当ABCD的取值一旦为1010~1111时,人们对函数值为1还是为0并不关心,这种对电路功能无影响的最小项称为任意项。

约束项和任意项统称为无关项。无关是指这些最小项对函数的最终结果无关紧要,可以写入逻辑函数,也可以不写入。

1.7.2 利用无关项化简逻辑函数

由于无关项要么不在逻辑函数中出现,要么出现时取值是1还是为0对逻辑

函数的结果没有影响,因此对具有无关项的逻辑函数化简时,无关项既可取0,也可取1,化简时的具体步骤如下。

(1)将函数式中最小项在卡诺图对应的小方格内填1,无关项在对应的小方格内填×,其余位置补0或空着。

(2)画包围圈时,无关项看成是1还是0,以使包围圈的个数最少、圈最大为原则。

(3)圈中必须至少有一个有效的最小项,不能全是无关项。

数字电子技术基础答案

Q 1 CP Q 1 Q 0 &&D 1D 0第一组: 计算题 一、(本题20分) 试写出图示逻辑电路的逻辑表达式,并化为最简与或式。 解:C B A B A F ++=C B A B A F ++= 二、(本题25分) 时序逻辑电路如图所示,已知初始状态Q 1Q 0=00。 (1)试写出各触发器的驱动方程; (2)列出状态转换顺序表; (3)说明电路的功能; 解:(1)100Q Q D =,101Q Q D =; (2)00→10→01 (3)三进制移位计数器 三、(本题30分)

由集成定时器555组成的电路如图所示,已知:R 1=R 2=10 k Ω,C =5μF 。 (1)说明电路的功能; (2)计算电路的周期和频率。 解:(1)多谐振荡器电路 (2)T 1=7s , T 2=3.5s 四、(本题25分) 用二进制计算器74LS161和8选1数据选择器连接的电路如图所示, (1)试列出74LS161的状态表; (2)指出是几进制计数器; (3)写出输出Z 的序列。 "1" 解: (1)状态表如图所示 (2)十进制计数器 (3)输出Z 的序列是0010001100 C R R CC u o

第二组: 计算题 一、(本题20分) 逻辑电路如图所示,试答: 1、写出逻辑式并转换为最简与或表达式,2、画出用“与”门及“或”门实现的逻辑图。 B 二、(本题25分) 试用与非门设计一个三人表决组合逻辑电路(输入为A、B、C,输出为F),要求在A有一票决定权的前提下遵照少数服从多数原则,即满足:1、A=1时,F一定等于1,2、A、B、C中有两2个以上等于1,则输出F=1。 试:(1)写出表决电路的真值表; (2)写出表决电路的逻辑表达式并化简; (3)画出用与非门设计的逻辑电路图。 解: (1)真值表

数字电子技术基础试题及答案

数字电子技术基础期末考试试卷 课程名称 数字电子技术基础 A 卷 考试形式 闭 卷 考核类型 考试 本试卷共 4 大题,卷面满分100分,答题时间120分钟。 一、填空题:(每小题2分,共10分) 1.二进制数(1011.1001)2转换为八进制数为 (13.41) ,转换为十六进为 B9 。 2.数字电路按照是否具有记忆功能通常可分为两类: 组合逻逻辑电路 、 时序逻辑电路 。 3.已知逻辑函数F =A ⊕B ,它的与非-与非表达式为 ,或与非表达式 为 。 4.5个变量可构成 32 个最小项,变量的每一种取值可使 1 个最小项的值为1。 5.555定时器构成的施密特触发器,若电源电压V CC =12V ,电压控制端经0.01μF 电容接地,则上触发电平U T+ = V ,下触发电平U T –= V 。 二、化简题:(每小题10分,共20分) 1.用代数法将下面的函数化为最简与或式:F=C ·[ABD BC BD A +++(B+C)D]

2. 用卡诺图法将下列函数化简为最简与或式: F(A 、B 、C 、D)=∑m (0,2,4,5,7,13)+∑d(8,9,10,11,14,15) 三、分析题:(每小题10分,共40分) 1.试分析题1图所示逻辑电路,写出逻辑表达式和真值表,表达式化简后再画出新的逻辑图。 题 1图 得分 评卷人

2.74161组成的电路如题 2 图所示,分析电路,并回答以下问题: (1)画出电路的状态转换图(Q 3Q 2Q 1Q 0); (2)说出电路的功能。(74161的功能见表) 题 2 图 …………………密……………………封…………………………装…………………订………………………线………………………

《数字电子技术基础》课后习题答案

《数字电路与逻辑设计》作业 教材:《数字电子技术基础》 (高等教育出版社,第2版,2012年第7次印刷)第一章: 自测题: 一、 1、小规模集成电路,中规模集成电路,大规模集成电路,超大规模集成电路 5、各位权系数之和,179 9、01100101,01100101,01100110; 11100101,10011010,10011011 二、 1、× 8、√ 10、× 三、 1、A 4、B 练习题: 1.3、解: (1) 十六进制转二进制: 4 5 C 0100 0101 1100 二进制转八进制:010 001 011 100 2 1 3 4 十六进制转十进制:(45C)16=4*162+5*161+12*160=(1116)10 所以:(45C)16=(10001011100)2=(2134)8=(1116)10 (2) 十六进制转二进制: 6 D E . C 8 0110 1101 1110 . 1100 1000 二进制转八进制:011 011 011 110 . 110 010 000 3 3 3 6 . 6 2 十六进制转十进制:(6DE.C8)16=6*162+13*161+14*160+13*16-1+8*16-2=(1758.78125)10 所以:(6DE.C8)16=(011011011110. 11001000)2=(3336.62)8=(1758.78125)10

(3) 十六进制转二进制:8 F E . F D 1000 1111 1110. 1111 1101二进制转八进制:100 011 111 110 . 111 111 010 4 3 7 6 . 7 7 2 十六进制转十进制: (8FE.FD)16=8*162+15*161+14*160+15*16-1+13*16-2=(2302.98828125)10 所以:(8FE.FD)16=(100011111110.11111101)2=(437 6.772)8=(2302.98828125)10 (4) 十六进制转二进制:7 9 E . F D 0111 1001 1110 . 1111 1101二进制转八进制:011 110 011 110 . 111 111 010 3 6 3 6 . 7 7 2 十六进制转十进制: (79E.FD)16=7*162+9*161+14*160+15*16-1+13*16-2=(1950. 98828125)10 所以:(8FE.FD)16=(011110011110.11111101)2=(3636.772)8=(1950.98828125)10 1.5、解: (74)10 =(0111 0100)8421BCD=(1010 0111)余3BCD (45.36)10 =(0100 0101.0011 0110)8421BCD=(0111 1000.0110 1001 )余3BCD (136.45)10 =(0001 0011 0110.0100 0101)8421BCD=(0100 0110 1001.0111 1000 )余3BCD (374.51)10 =(0011 0111 0100.0101 0001)8421BCD=(0110 1010 0111.1000 0100)余3BCD 1.8、解 (1)(+35)=(0 100011)原= (0 100011)补 (2)(+56 )=(0 111000)原= (0 111000)补 (3)(-26)=(1 11010)原= (1 11101)补 (4)(-67)=(1 1000011)原= (1 1000110)补

数字电子技术基础习题及答案..

; 数字电子技术基础试题 一、填空题 : (每空1分,共10分) 1. 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。【 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设图1中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 图 1

2.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 ( C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是()。 A、通过大电阻接地(>Ω) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 " 5.请判断以下哪个电路不是时序逻辑电路()。图2 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。图2 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如图 3所示,则该电路为()。

图3 . A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 D、10位D/A转换器 9、已知逻辑函数与其相等的函数为()。 A、B、C、D、 10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。 \ A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式 Y= A + 2、用卡诺图法化简为最简或与式

《数字电子技术基础》 阎石编著_数字电路教案

数字电路教案 本课程理论课学时数为70,实验24学时。各章学时分配见下表:

第一章逻辑代数基础 【本周学时分配】 本周5学时。周二1~2节,周四3~5节。 【教学目的与基本要求】 1、掌握二进制数、二—十进制数(主要是8421 BCD码) 2、熟练掌握逻辑代数的若干基本公式和常用公式。 3、熟练掌握逻辑函数的几种表达形式。 【教学重点与教学难点】 本周教学重点: 1、绪论:重点讲述数字电路的基本特点、应用状况和课程主要内容。 2、逻辑代数的基本运算:重点讲述各种运算的运算规则、符号和表达式。 3、逻辑代数的基本公式和常用公式:重点讲述逻辑代数的基本公式与普通代数公式的区别,常用公式的应用背景。 4、逻辑函数的表示方法:重点讲述各种表示方法的特点和相互转换方法。 本周教学难点: 反演定理和对偶定理:注意两者之间的区别、应用背景和变换时应注意的问题。【教学内容与时间安排】 一、绪论(约0.5学时) 1、电子电路的分类。 2、数字电路的基本特点。 3、数字电路的基本应用。 4、本课程的主要内容; 5、本课程的学习方法和对学生的基本要求。 二、数制与码制(约1.5学时)(若前置课程已学,可作简单复习0.5学时) 1、几种不同进制(二、八、十、十六进制)。 2、几种不同进制相互转换。 3、码制(BCD码)。 三、逻辑代数 1、基本逻辑运算和复合逻辑运算:与、或、非运算是逻辑代数的基本运算;还可以形成其他复合运算,常用的是与非、或非、与或非、异或、同或运算。(约0.5学时) 2、常用公式(18个)(约0.5学时) 3、基本定理(代入定理、反演定理、对偶定理)(约0.5学时) 4、逻辑函数的概念及表示方法(约0.5学时) 5、逻辑函数各种表示方法间的转换:常用的转换包括:函数式←→真值表;函数式←→逻辑图(约1学时)

数字电子技术基础教案

数字电子技术基础教案 太原工业学院 第1章逻辑代数基础

目的与要求: 熟练掌握基本逻辑运算和几种常用复合导出逻辑运算;熟练运用真值表、逻辑式、逻辑图来表示逻辑函数。 重点与难点: 重点:三种基本逻辑运算和几种导出逻辑运算;真值表、逻辑式、逻辑图之间的相互转换。难点:将真值表转换为逻辑式。 所谓数字电路,就是用0和1数字编码来表示和传输信息的系统,即信息数字化(时代)。 数字电路与传统的模拟电路比较,其突出的优点是:(如数字通 信系统)抗干扰能力强、保密性好、计算机自动控制、(数字测量 仪表)精度高、智能化、(集成电路)可靠性高、体积小等。 数字电子技术基础,是电子信息类各专业的主要技术基础课。 1、1概述 一、模拟量(时间、温度、压力、速度、流量):时间上和幅值上 连续变化的物理量; 模拟信号(正弦交流信号):表示模拟量的信号。 数字量:时间上和幅值上都不连续变化的物理量(工厂中生产的产品个数); 数字信号、数字电路。 数字电路中的数字信号 采用0、1两种数值(便于实现)(位bit 、拍) 0、1表示方法:电位型:电位高低(不归零型数字信号) 脉冲型:有无脉冲(归零型数字信号) 二、数制及其转换 由0、1数值引入二进制及其相关问题。 常用数制:举例:十进制、二进制(双)、七进制(星期)、 十二进制(打)等。 特点:基数:数制中所用数码的个数; 位权。 1. 十进制数 基数:10 位权:n 10 表达式:10)(N =(P2 式1-1)=i n m i i a 101 ?∑--= (1-1) 推广到任意进制R : 基数:R 位权:n R

表达式:R N )(=(P2 式1-2)=i n m i i R a ?∑--=1 (1-2) 2. 二进制数 表达式:2)(N =(P3 式1-3)=i n m i i a 21 ?∑--= (1-3) 位权:以K 为单位;按二进制思维(如1000个苹果问题); 例如:(1101.01)2= 0-16对应的二进制数 特点:信息密度低,引入八、十六进制。 3. 八进制、十六进制 八进制: 基数:8(0-7) 位权:n 8 表达式:8)(N == i n m i i a 81?∑--= ( 1-4) 十六进制: 基数:16(0-9,A ,B ,C ,D ,E ,F ) 位权:n 16 表达式:16)(N ==i n m i i a 161?∑--= 特点:和二进制有简单对应关系;信息密度高,便于书写。 4. 不同进制数的转换 ⑴ R →十:按位权展开,再按十进制运算规则运算。 例1-1、1-2、1-3(P4) ⑵ 十→R :分两步 整数部分:除R 取余,注意结束及结果; 小数部分:乘R 取整,注意精度及结果; 结果合并: ⑶ R=2k 进制之间的转换 二?八:3位?1位, 二?十六:4位?1位, 八?十六:以二进制为过度, 5. 进制的另一种表示方法: B (inary )----二; H(exadecimal)----十六; D(ecimal)----十; O----八 三、二—十进制代码(BCD 代码)

数字电子技术基础习题与答案

数字电子技术试卷(1) 一.填空(16) 1.十进制数123的二进制数是 1111011 ;十六进制数是 7B 。 2.1是8421BCD 码,其十进制为 861 。 3.逻辑代数的三种基本运算是 与 , 或 和 非 。 4.三态门的工作状态是 0 , 1 , 高阻 。 5.描述触发器逻辑功能的方法有 真值表,逻辑图,逻辑表达式,卡诺图,波形图 。 6.施密特触发器的主要应用是 波形的整形 。 7.设4位D/A 转换器的满度输出电压位30伏,则输入数字量为1010时的输出模拟电压为 。 8.实现A/D 转换的主要方法有 , , 。 三.化简逻辑函数(14) 1.用公式法化简- -+++=A D DCE BD B A Y ,化为最简与或表达式。 解;D B A Y +=- 2.用卡诺图化简∑∑=m d D C B A Y ),,,,()+,,,,(84210107653),,,(,化为最简与或表达式。 四.电路如图1所示,要求写出输出函数表达式,并说出其逻辑功能。(15) 解;C B A Y ⊕⊕=, C B A AB C )(1++=,全加器,Y 为和,1C 为进位。 五.触发器电路如图2(a ),(b )所示,⑴写出触发器的次态方程; ⑵对应给定波形画 出Q 端波形(设初态Q =0)(15) 解;(1)AQ Q Q n +=- +1,(2)、A Q n =+1 六.试用触发器和门电路设计一个同步的五进制计数器。(15) 七.用集成电路定时器555所构成的自激多谐振荡器电路如图3所示,试画出V O ,V C 的工作 波形,并求出振荡频率。(15)

数字电子技术基础期末考试试卷及答案1[1]

数字电子技术基础试题(一) 填空题: (每空1数字电子技术基础试题(一) 一、分,共10分) 1.(30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 1 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为12 条、数据线为 8 条。 二、选择题:(选择一个正确的答案填入括号内,每题3分,共30分) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:(C )图。

2.下列几种TTL电路中,输出端可实现线与功能的电路是(D)。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是(D )。 A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) B、D、通过电阻接V CC 4.图2所示电路为由555定时器构成的(A )。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路(C )。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是(A )。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、D、双积分A/D转换器 7.某电路的输入波形u I 和输出波形u O 如下图所示,则该电路为(C)。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用(C )。

数字电子技术基础第五版

数字电子技术基础第五版习题解答: 本书是为配合清华大学电子学教研组编、阎石主编的《数字电子技术基础》(第五版)教材的使用而编写的习题解答。书中除包含有《数字电子技术基础》(第五版)全部习题的详细解答以外,还含有各章习题的分类以及每种类型题目的解题方法和步骤等内容。 数字电子技术基础(第5版): 数字电子技术基础(第5版)》是2006年高等教育出版社出版的图书,作者是阎石、清华大学电子学教研组。 内容简介: 本书是普通高等教育“十五”国家级规划教材。本书以前各版曾分别获得北京市教育教学成果一等奖、国家教委优秀教材一等奖、国家级优秀教材奖。 新版教材是在基本保持第四版教材内容、理论体系和风格的基础上,按照教育部2004年修订的“数字电子技术基础课程教学基本要求”修订而成的。本次修订除改写了部分章节外,还增加了硬件描述语言和EDA软件应用的基础知识。此外,还在多数小节后面增设了复习思考题。为了便于教学,也为了便于读者今后阅读外文教材和使用外文版的EDA软件,书中采用了国际上流行的图形逻辑符号。 全书主要内容有:数制和码制、逻辑代数基础、门电路、组合逻辑电路、触发器、时序逻辑电路、半导体存储器、可编程逻辑器件、硬件描述语言、脉冲波形的产生和整形、数-模和模-数转换等共11章。

本书可作为电气信息类、仪器仪表类各专业的教科书,也可供其他相关理工科专业选用以及社会选者阅读。 作者简介: 阎石,清华大学教授、全国高等学校电子技术研究会理事长。1937年生人。1958年毕业于清华大学自动控制系,其后一直在清华大学从事电子技术的教学与科研工作。曾任国家教委工科本科基础课程教学指导委员会第一、二届委员,华北地区高等学校电子技术教学研究会理事长。1989年与童诗白教授等一起获得普通高等学校优秀教学成果国家级特等奖。主编的《数字电子技术基础》第二版获国家教委优秀教材一等奖,第三版获国家优秀教材奖,第四版获北京市教育教学成果一等奖。 主要著作有:《数字电子技术基础》第一、二、三、四版,高等教育出版社分别于1981年、1984年、1989年、1998年出版;《电子技术基础学习指导》,辽宁科技出版社,1985年出版;《数字电子电路》,中央电大出版社,1993年出版;《数字电子技术荩础(第四版)教师手册》,高等教育出版社,2003年出版;《帮你学数字电子技术基础》,高等教育出版社,2004年出版。

数字电子技术基础第三版第一章答案

第一章数字逻辑基础 第一节重点与难点 一、重点: 1.数制 2.编码 (1) 二—十进制码(BCD码) 在这种编码中,用四位二进制数表示十进制数中的0~9十个数码。常用的编码有8421BCD码、5421BCD码和余3码。 8421BCD码是由四位二进制数0000到1111十六种组合中前十种组合,即0000~1001来代表十进制数0~9十个数码,每位二进制码具有固定的权值8、4、2、1,称有权码。 余3码是由8421BCD码加3(0011)得来,是一种无权码。 (2)格雷码 格雷码是一种常见的无权码。这种码的特点是相邻的两个码组之间仅有一位不同,因而其可靠性较高,广泛应用于计数和数字系统的输入、输出等场合。 3.逻辑代数基础 (1)逻辑代数的基本公式与基本规则 逻辑代数的基本公式反映了二值逻辑的基本思想,是逻辑运算的重要工具,也是学习数字电路的必备基础。 逻辑代数有三个基本规则,利用代入规则、反演规则和对偶规则使逻辑函数的公式数目倍增。 (2)逻辑问题的描述 逻辑问题的描述可用真值表、函数式、逻辑图、卡诺图和时序图,它们各具特点又相互关联,可按需选用。 (3)图形法化简逻辑函数 图形法比较适合于具有三、四变量的逻辑函数的简化。 二、难点: 1.给定逻辑函数,将逻辑函数化为最简 用代数法化简逻辑函数,要求熟练掌握逻辑代数的基本公式和规则,熟练运用四个基本方法—并项法、消项法、消元法及配项法对逻辑函数进行化简。 用图形法化简逻辑函数时,一定要注意卡诺图的循环邻接的特点,画包围圈时应把每个包围圈尽可能画大。 2.卡诺图的灵活应用 卡诺图除用于简化函数外,还可以用来检验化简结果是否最简、判断函数间的关系、求函数的反函数和逻辑运算等。 3.电路的设计 在工程实际中,往往给出逻辑命题,如何正确分析命题,设计出逻辑电路呢?通常的步骤如下:

数字电子技术基础习题答案

数字电子技术基础习题答案

数字电子技术基础答案 第1章 自测题 1.1填空题 1. 100011.11 00110101.01110101 11110.01 1E.4 2. 4 3. n2 4. 逻辑代数卡诺图 5.) (D C B A F ) (D C B A F+ =' 6.) )( (C B D C B A F 7. 代数法卡诺图8. 1 1.2判断题 1. √ 2.√ 3. × 1.3选择题 1.B 2.C 3.C 1.4 A F= 1⊙B AB F 2 B A F+ = 3 1.5 A B L 0 0 1 0 1 0 1 0 0 1 1 1 1.6 C L=

1.7 AB C B A BC Y 习题 1.1 当0000 1 2 A A A ,7 A 到3 A 有1个不为0时,就可以 被十进制8整除 1.2 (a)AC BC AB F ++=1 (b )B A AB F +=2 (c)C B A S ⊕⊕= AC BC AB C 0 1.3略 1.4 (1) )(B A D C F )(1 ) )((1B A D C F ++=' (2) ) (B A B A F )(2 ) )((2B A B A F ++=' (3) E D C B A F 3 D E C AB F =' 3 (4) ) ()(4D A B A C E A F )( ) )()((4D A C AB E A F +++=' 1.5 C B A F ⊕⊕= 1.6 (1) B A C B C A L (2) D B C B D C A L (3) AD L (4) E ABCD L (5) 0 L 1.7 C B A B C A C AB ABC C B A L ),,( 1.8(1) ABD D A C F 1 (2) BC AB AC F 2 (3) C A B A B A F 3 (有多个答案) (4) C B D C AB C A C D F +++=4 (5) C B A ABD C B A D B A F 5 (6) 1 6 F 1.9 (1) AD D C B B A F 1 (2) B A A C F 2 (3) D A D B C B F 3 (4) B C F 4

数字电子技术基础习题及答案

《数字电子技术》习题 一. 单项选择题: 1.十进制数128的8421BCD码是()。 A.10000000 B. 000100101000 C.100000000 D.100101000 2.已知函数F的卡诺图如图1-1, 试求其最简与或表达式 3. 已知函数的反演式为 ,其原函数为()。 A. B. C. D. 4.对于TTL数字集成电路来说,下列说法那个是错误的:(A)电源电压极性不得接反,其额定值为5V; (B)不使用的输入端接1; (C)输入端可串接电阻,但电阻值不应太大; (D)OC门输出端可以并接。 5.欲将正弦信号转换成与之频率相同的脉冲信号,应用 A.T,触发器 B.施密特触发器 C.A/D转换器 D.移位寄存器 6.下列A/D转换器中转换速度最快的是()。 A.并联比较型 B.双积分型 C.计数型 D.逐次渐近型 7. 一个含有32768个存储单元的ROM,有8个数据输出端,其地址输入端有()个。 A. 10 B. 11 C. 12 D. 8

8.如图1-2,在TTL门组成的电路中,与非门的输入电流为I iL≤–1mA?I iH≤20μA。G1输出低电平时输出电流的最大值为 I OL(max)=10mA,输出高电平时最大输出电流为 I OH(max)=–0.4mA 。门G1的扇出系数是()。 A. 1 B. 4 C. 5 D. 10 9.十数制数2006.375转换为二进制数是: A. 11111010110.011 B. 1101011111.11 C. 11111010110.11 D. 1101011111.011 10. TTL或非门多余输入端的处理是: A. 悬空 B. 接高电平 C. 接低电平 D.接”1” 二.填空题(每小题2分,共20分) 1.CMOS传输门的静态功耗非常小,当输入信号的频率增加时,其功耗将______________。 2. 写出四种逻辑函数的表示方法: __________________________________________________________ _____; 3.逻辑电路中,高电平用1表示,低电平用0表示,则称为___逻辑; 4. 把JK触发器改成T触发器的方法是_____________。 5. 组合逻辑电路是指电路的输出仅由当前的_____________决定。 6. 5个地址输入端译码器,其译码输出信号最多应有 _____________个。 7. 输入信号的同时跳变引起输出端产生尖峰脉冲的现象叫做 _____________。 8.一片ROM有10根地址线,8根数据输出线,ROM共有________个存储单元。 9.N个触发器组成的计数器最多可以组成_____________进制的计数器。 8. 基本RS触发器的约束条件是_____________。 三.电路分析题(36分)

数字电子技术基础知识总结

数字电子技术基础知识总结引导语:数字电子技术基础知识有哪些呢?接下来是小编为你带来收集整理的文章,欢迎阅读! 处理模拟信号的电子电路。“模拟”二字主要指电压(或电流)对于真实信号成比例的再现。 其主要特点是: 1、函数的取值为无限多个; 2、当图像信息和声音信息改变时,信号的波形也改变,即模拟信号待传播的信息包含在它的波形之中(信息变化规律直接反映在模拟信号的幅度、频率和相位的变化上)。 3.初级模拟电路主要解决两个大的方面:1放大、2信号源。 4、模拟信号具有连续性。 用数字信号完成对数字量进行算术运算和逻辑运算的电路称为数字电路,或数字系统。由于它具有逻辑运算和逻辑处理功能,所以又称数字逻辑电路。 其主要特点是: 1、同时具有算术运算和逻辑运算功能 数字电路是以二进制逻辑代数为数学基础,使用二进制数字信号,既能进行算术运算又能方便地进行逻辑运算(与、或、非、判断、比较、处理等),因此极其适合于运算、比较、存储、传输、控制、决策等应用。

2、实现简单,系统可靠 以二进制作为基础的数字逻辑电路,可靠性较强。电源电压的小的波动对其没有影响,温度和工艺偏差对其工作的可靠性影响也比模拟电路小得多。 3、集成度高,功能实现容易 集成度高,体积小,功耗低是数字电路突出的优点之一。电路的设计、维修、维护灵活方便,随着集成电路技术的高速发展,数字逻辑电路的集成度越来越高,集成电路块的功能随着小规模集成电路(SSI)、中规模集成电路(MSI)、大规模集成电路(LSI)、超大规模集成电路(VLSI)的发展也从元件级、器件级、部件级、板卡级上升到系统级。电路的设计组成只需采用一些标准的集成电路块单元连接而成。对于非标准的特殊电路还可以使用可编程序逻辑阵列电路,通过编程的方法实现任意的逻辑功能。 模拟电路是处理模拟信号的电路;数字电路是处理数字信号的电路。 模拟信号是关于时间的函数,是一个连续变化的量,数字信号则是离散的量。因为所有的电子系统都是要以具体的电子器件,电子线路为载体的,在一个信号处理中,信号的采集,信号的恢复都是模拟信号,只有中间部分信号的处理是数字处理。具体的说模拟电路主要处理模拟信号,不随时间变化,时间域和值域上均连续的信号,如语音信号。而数

最新数字电子技术基础教材第四章答案

习题4 4-1 分析图P4-1所示的各组合电路,写出输出函数表达式,列出真值表,说明电路的逻辑功能。 解:图(a ):1F AB =;2 F A B =;3F AB = 真值表如下表所示: A B 1F 2F 3F 0 0 0 1 0 0 1 0 0 1 1 0 1 0 0 1 1 1 其功能为一位比较器。A>B 时,11F =;A=B 时,21F =;A

功能:一位半加器,1F 为本位和,2F 为进位。 图(c ):1(0,3,5,6)(1,2,4,7)F M m = =∑∏ 2(0,1,2,4)(3,5,6,7)F M m ==∑∏ 真值表如下表所示: 功能:一位全加器,1F 为本位和,2F 为本位向高位的进位。 图(d ):1F AB =;2 F A B =;3F AB = 功能:为一位比较器,AB 时,3F =1 4-2 分析图P4-2所示的组合电路,写出输出函数表达式,列出真值表,指出该电路完成的逻辑功能。

解:该电路的输出逻辑函数表达式为: 100101102103F A A x A A x A A x A A x =+++ 因此该电路是一个四选一数据选择器,其真值表如下表所示: 1A 0A F 0 0 0x 0 1 1x 1 0 2x 1 1 3x 4-3 图P4-3是一个受M 控制的代码转换电路,当M =1时,完成4为二进制码至格雷码的转换;当M =0时,完成4为格雷码至二进制的转换。试分别写出0Y ,1Y ,2Y ,3Y 的逻辑函数的表达式,并列出真值表,说明该电路的工作原理。

数字电子技术基础答案

数字电子技术基础答案 第1章 自测题 1.1填空题 1. 100011.11 00110101.01110101 11110.01 1E.4 2. 4 3. n 2 4. 逻辑代数 卡诺图 5.)(D C B A F += )(D C B A F +=' 6.))((C B D C B A F +++= 7. 代数法 卡诺图 8. 1 1.2判断题 1. √ 2.√ 3. × 1.3选择题 1.B 2.C 3.C 1.4 A F =1⊙B AB F =2 B A F +=3 1.5 1.6 C L = 1.7 AB C B A BC Y ++= 习题 1.1 当000012=A A A ,7A 到3A 有1个不为0时,就可以被十进制8整除 1.2 (a)AC BC AB L ++=(b )B A AB L += (c)C B A S ⊕⊕= AC BC AB C ++=0 1.3略 1.4 (1) )(B A D C F ++=)(1 ))((1B A D C F ++=' (2) )(B A B A F ++=)(2 ))((2B A B A F ++=' (3) E D C B A F =3 DE C AB F =' 3

(4) )()(4D A B A C E A F +++=)( ))()((4D A C AB E A F +++=' 1.5 C B A F ⊕⊕= 1.6 (1) B A C B C A L ++= (2) D B C B D C A L +++= (3) AD L = (4) E ABCD L = (5) 0=L 1.7 C B A BC A C AB ABC C B A L +++=),,( 1.8(1) ABD D A C F ++=1 (2) BC AB AC F ++=2 (3) C A B A B A F ++=3 (有多个答案) (4) C B A D C AB C A CD F +++=4 (5) C B A ABD C B A D B A F +++=5 (6) 16=F 1.9 (1) AD D C B B A F ++=1 (2) B A AC F +=2 (3) D A D B C B F ++=3 (4) B C F +=4 1.10 (1) C A B F +=1 (2) B C F +=2 (3) D A B C F ++=3 (4) C B A D B D C F ++=4 1.11 C A B A D F ++= 1.12 (1) D B A D C A D C B F ++=1(多种答案) (2) C B BCD D C D B F +++=2 (3) C B C A D C F ++=3 (4) A B F +=4 (5) BD D B F +=5 (6) C B D A D C A F ++=6(多种答案) (7) C A D B F +=7(多种答案) (8) BC D B F +=8(多种答案) (9) B D C F +=9 1.13 略 第2章 自测题 2.1 判断题 1. √ 2. √ 3. × 4. √ 5. √ 6. √ 7. × 8. √ 9. × 10√ 2.2 选择题 1.A B 2.C D 3.A 4.B 5.B 6.A B D 7.C 8.A C D 9.A C D 10.B 习题 2.1解:ABC Y =1

最新数字电子技术基础电子教案——第5章时序逻辑电路.docx

第 5 章时序逻辑电路 5.1时序逻辑电路的基本概念 1.时序逻辑电路的结构及特点 时序逻辑电路在任何时刻的输出状态不仅取决于当时的输入信号,还与电路的原状态有关,触发器就是最简单的时序逻辑电路,时序逻辑电路中必须含有存 储电路。时序电路的基本结构如图 5.1 所示,它由组合电路和存储电路两部分 组成。 图 5.1时序逻辑电路框图 时序逻辑电路具有以下特点: (1)时序逻辑电路通常包含组合电路和存储电路两个组成部分,而存储电路 要记忆给定时刻前的输入输出信号,是必不可少的。 (2)时序逻辑电路中存在反馈,存储电路的输出状态必须反馈到组合电路的 输入端,与输入信号一起,共同决定组合逻辑电路的输出。 2.时序逻辑电路的分类 ( 1)按时钟输入方式 时序电路按照时钟输入方式分为同步时序电路和异步时序电路两大类。同步时序电路中,各触发器受同一时钟控制,其状态转换与所加的时钟脉冲信号都是同步的;异步时序电路中,各触发器的时钟不同,电路状态的转换有先有后。同 步时序电路较复杂,其速度高于异步时序电路。 ( 2)按输出信号的特点 根据输出信号的特点可将时序电路分为米里(Mealy)型和摩尔(Moore)型两类。米里型电路的外部输出 Z 既与触发器的状态 Q n有关,又与外部输入 X 有

关。而摩尔型电路的外部输出Z 仅与触发器的状态Q n有关,而与外部输入X 无关。 ( 3)按逻辑功能 时序逻辑电路按逻辑功能可划分为寄存器、锁存器、移位寄存器、计数器和节拍发生器等。 3.时序逻辑电路的逻辑功能描述方法 描述一个时序电路的逻辑功能可以采用逻辑方程组(驱动方程、输出方程、 状态方程)、状态表、状态图、时序图等方法。这些方法可以相互转换,而且 都是分析和设计时序电路的基本工具。 5.2时序逻辑电路的分析方法和设计方法 1.时序逻辑电路的分析步骤 (1)首先确定是同步还是异步。若是异步,须写出各触发器的时钟方程。 (2)写驱动方程。 (3)写状态方程(或次态方程)。 (4)写输出方程。若电路由外部输出,要写出这些输出的逻辑表达式,即输 出方程。 (5)列状态表 (6)画状态图和时序图。 (7)检查电路能否自启动并说明其逻辑功能。 5.2.1同步时序逻辑电路的设计方法 1.同步时序逻辑电路的设计步骤 设计同步时序电路的一般过程如图 5.10 所示。 图 5.10同步时序电路的设计过程

数字电子技术基础. 第四版. 课后习题答案详解

Y 1 1 Y 第一章 1.1 二进制到十六进制、十进制 (1)(10010111)2=(97)16=(151)10 (3)(0.01011111)2=(0.5F)16=(0.37109375)10 1.2 十进制到二进制、十六进制 (1)(17)10=(10001)2=(11)16 (3) (0.39)10 = (0.0110 0011 1101 0111 0000 1010) 2 = (0.63D70A)16 1.8 用公式化简逻辑函数 (1)Y=A+B (2)Y = ABC + A + B + C 解: = BC + A + B + C = C + A + B + C =(A +A =) (5)Y=0 (2)(1101101)2=(6D)16=(109)10 (4)(11.001)2=(3.2)16=(3.125)10 (2)(127)10=(1111111)2=(7F)16 (4) (25.7)10 = (11001.1011 0011) 2 = (19.B 3)16 (3)Y=1 (4)Y = AB CD + ABD + AC D 解:Y = AD (B C + B + C ) = AD (B + C + C ) = AD (7)Y=A+CD (6)Y = AC (C D + A B ) + BC (B + AD + CE ) 解:Y = BC ( B ⊕ AD + CE ) = BC ( B + AD ) ⊕ CE = ABCD (C + E ) = ABCDE (8)Y = A + ( B + )( A + B + C )( A + B + C ) 解:Y = A + ( B ⊕ C )( A + B + C )( A + B + C ) = A + ( AB C + B C )( A + B + C ) = A + B C ( A + B + C ) = A + AB C + B C = A + B C (9)Y = BC + A D + AD (10)Y = AC + AD + AEF + BDE + BDE 1.9 (a) Y = ABC + BC (b) Y = ABC + ABC (c) Y 1 = AB + AC D ,Y 2 = AB + AC D + ACD + ACD (d) Y 1 = AB + AC + BC , Y 2 = ABC + ABC + ABC + ABC 1.10 求下列函数的反函数并化简为最简与或式 (1)Y = AC + BC (3)Y = ( A + B )( A + C )AC + BC (2) Y = A + C + D 解: = ( A + B )( A + C )AC + BC = [( A + B )( A + C ) + AC ] ⊕ BC = ( AB + AC + BC + AC )( B + C ) = B + C (5)Y = AD + AC + BCD + C 解:Y = ( A + D )( A + C )(B + C + D )C = AC ( A + D )(B + C + D ) = ACD (B + C + D ) = ABCD (4)Y = A + B + C (6)Y = 0 1.11 将函数化简为最小项之和的形式 (1)Y = A BC + AC + B C 解:Y = A BC + AC + B C = A BC + A (B + B )C + ( A + A )B C = A BC + ABC + AB C + AB C + ABC = A BC + ABC + AB C + ABC (2)Y = ABC D + A BCD + ABCD + AB CD + AB CD + A BC D

(完整word版)数字电子技术基础习题册答案7-11

第7章 时序逻辑电路 【7-1】已知时序逻辑电路如图7.1所示,假设触发器的初始状态均为0。 (1 )写出电路的状态方程和输出方程。 (2) 分别列出X =0和X =1两种情况下的状态转换表,说明其逻辑功能。 (3) 画出X =1时,在CP 脉冲作用下的Q 1、Q 2和输出Z 的波形。 1J 1K C11J 1K C1Q 1 Q 2 CP X Z 1 图7.1 解: 1.电路的状态方程和输出方程 n 1n 2n 11n 1Q Q Q X Q +=+ n 2 n 11n 2Q Q Q ⊕=+ CP Q Q Z 21= 2 .分别列出X =0和X =1两种情况下的状态转换表,见题表7.1所示。逻辑功能为 当X =0时,为2位二进制减法计数器;当X =1时,为3进制减法计数器。 3.X =1时,在CP 脉冲作用下的Q 1、Q 2和输出Z 的波形如图7.1(b)所示。 题表7.1 Q Q Z 图7.1(b) 【7-2】电路如图7.2所示,假设初始状态Q a Q b Q c =000。 (1) 写出驱动方程、列出状态转换表、画出完整的状态转换图。 (2) 试分析该电路构成的是几进制的计数器。 Q c 图7.2

解: 1.写出驱动方程 1a a ==K J n c n a b b Q Q K J ?== n b n a c Q Q J = n a c Q K = 2.写出状态方程 n a 1n a Q Q =+ n a n a n a n a n c n a 1n b Q Q Q Q Q Q Q +=+ n c n a n c n b n a 1n b Q Q Q Q Q Q +=+ 3.列出状态转换表见题表7.2,状态转换图如图7.2(b)所示。 图7.2(b) 表7.2状态转换表 CP n a n b c Q Q Q 0 0 0 0 1 0 0 1 2 0 1 0 3 0 1 1 4 1 0 0 5 1 0 1 6 0 0 0 n 4.由FF a 、FF b 和FF c 构成的是六进制的计数器。 【7-3】在二进制异步计数器中,请将正确的进位端或借位端(Q 或Q )填入下表 解: 题表7-3 下降沿触发 由 Q 端引出进位 由Q 端引出借位 触发方式 加法计数器 减法计数器上升沿触发 由Q 端引出进位 由Q 端引出借位 【7-4】电路如图7.4(a)所示,假设初始状态Q 2Q 1Q 0=000。 1. 试分析由FF 1和FF 0构成的是几进制计数器; 2. 说明整个电路为几进制计数器。列出状态转换表,画出完整的状态转换图和CP 作用下的波形图。

相关主题
文本预览
相关文档 最新文档