当前位置:文档之家› 课程设计数字跑表

课程设计数字跑表

课程设计数字跑表
课程设计数字跑表

目录

引言 (1)

1设计意义及要求 (2)

1.1设计意义 (2)

1.2设计要求 (2)

2方案设计 (3)

2.1设计思路 (3)

2.2方案设计 (4)

2.2.1设计方案一(个人方案)电路图 (4)

2.2.2设计方案二(小组方案)电路图简单说明 (5)

2.3方案比较 (6)

3部分电路设计 (7)

3.1计数单元 (7)

3.2启动和暂停单元 (9)

3.3清零功能单元 (10)

3.4脉冲输出电路 (12)

3.5译码及显示电路 (13)

4调试与检测 (15)

4.1调试中故障及解决办法 (15)

4.2调试与运行结果 (16)

5仿真操作步骤及使用说明 (17)

结束语 (18)

参考文献 (19)

附录1 (20)

附录2 (21)

引言

21世纪是电子科技迅猛发展的时代,冰箱、电视、空调、汽车、相机、mp3等各种电子产品入住家家户户,关照着人们日常生活的每一个细节。人们的衣食住行乃至文化生活,早已离不开电子科技提供的优质服务。在科研领域,电子技术的应用更是大展拳脚,在通信、测量、勘探、航天甚至军事等领域都发挥着至关重要的作用。可以说,电子技术与网络技术、生物技术一其站在当今科学的最前沿,影响并改变着世界的样貌和人们的生活。

数字跑表作为一个简易的数字集成电路的应用,被广泛应用在田径、游泳等体育项目中,在学校体育教学中也是必备的用具。数字跑秒使用简单,携带方便,在多种电气设备均有应用。数字跑表具有计时功能,本次设计的数字跑表可以精确到0.01秒,可以在某些坏境下做到较精确的计时。

1设计意义及要求

1.1设计意义

在本次数字跑表课程设计中,我们将用到自己所学的数字电子技术方面的知识,通过思考设计出符合要求的电路。将理论与实践相结合,加深自对所学知识的理解,并提高应用能力。

本设计需要我们选择合适的芯片和元件并正确使用来实现数字跑表的功能。这就要求我们牢固掌握计数器的功能和各种进制的转换、译码器和数码管的使用、门电路的控制作用以及时序脉冲的产生方法等。各种电路的组合需要经过精密的计算和思考,整合各个功能电路,使之到道数字跑表的基本要求。这对我们熟悉各种芯片的功能用途很有帮助,可以开阔我们的眼界,使我们去接触一些在课堂上并不常使用的元件。在探究问题的最优解决方案时,我们将学会从各个方面考察探究和比较各个方法,并学会发散性的思考问题,不局限与已学的方法和他人的经验,力求创造性的解决问题,找到最优的方案。

在本次设计中,我们不但可以加深对课本知识的理解,并且在实践能够提高自己的分析能力、设计能力、团结合作能力以及抗挫折的心理调节能力。通过此次设计,我们对于电子技术的兴趣将大大提高,使我们以更加严谨认真的态度去对待在未来的学习。

1.2设计要求

1)设计一个具有、‘分’、‘秒’、‘1/100秒’的十进制数字显示的计时器;

2)要有外部开关,控制计数器的直接清零、启动和暂停/连续计时功能。

2方案设计

2.1设计思路

1)用多功能计数器产生十进制和六进制,实现数字跑表的计数功能。

2)利用555定时器构成的多谐振荡器产生时序脉冲,在特定的参数下可以实现产 生100HZ 的脉冲,达到数字跑表的计时要求。

3)利用各种逻辑门电路对计数器进行控制,实现数字跑表的启动、暂停和清零。 4)利用译码器和数码管实现译码及显示功能。

5)考虑到电路存在的各种延时及干扰等实际因素,在理论的基础上添加一下元器 件,减少延时和干扰。 系统框图如图2-1 图2-1系统框图

555多谐振荡器产生时序脉冲

计数器

译码器

数码管显示电路

逻辑门电路

逻辑门电路

启动/暂停

清零

2.2方案设计

2.2.1设计方案一(个人方案)电路图

图2-2方案一电路图

如图2-2所示,该电路由时序脉冲源、计数器、译码器、数码管及逻辑控制电路组成。

时序脉冲源由555定时器构成的多谐振荡器,设置特定的参数可以产生频率为100Hz 的时序脉冲,为计数器U10提供时序脉冲,使之计数。计数器由6个74LS90芯片十进制计数器组成,通过芯片间的连接实现微秒、秒、分计时电路。计数器输出连接译码器,译码器再连接数码管,起显示作用。逻辑门控制计数器的启动/暂停及清零。

接通电源后,将启动开关打到低电平端,电路即开始计时,将开关打到高电平端,电路就暂停计时;清零开关打到高电平,计时清零。这样就实现了数字跑表的各项基本功能。

2.2.2设计方案二(小组方案)电路图简单说明

图2-3方案二电路图

如图2-3所示,电路的计数原理与方案一基本相同,这里不再讲解。控制清零部分利用Q1、Q2接或门,在接地开关的连接端上拉电阻接电源,再接到或门的输入另一输入端,输出接RD(1)和RD(2).若清零端接高电平,则可实现清零功能。启动暂停端,555振荡电路与锁存器开关分别接或门两输入端,或门输出接U6的CKA信号输入。

2.3方案比较

通过对比方案一和方案二可以看出:方案一的控制清零电路利用或非门和非门串联起到以其到或门的作用,比较繁琐,而方案二直接用或门,比较简单、明了。同时,方案二启动暂停开关使用锁存器,比较好的防止了机械抖动,使开关控制更加灵敏,精确。

考虑到实际情形,我认为方案二更加简洁,也更具有可行性,能够更好的应用于实际中,因此选择方案二作为小组方案。

3部分电路设计

3.1计数单元

电路采用6个74LS90芯片构成数字跑表的主体计时部分,74LS90为异步二-五-十制计数器。其引脚图如图3-1

CP0 NC Q0 Q3 GND Q1 Q2

┌┴─┴─┴─┴─┴─┴─┴┐

│14 13 12 11 10 9 8│

)│

│ 1 2 3 4 5 6 7│

└┬─┬─┬─┬─┬─┬─┬┘

CP1 R1 R2 NC Vcc S1 S2

图3-1 74LS90集成计数器引脚图

74L90功能表如表3-1所示

表3-1 74LS90功能表

MR1 MR2 MS1 MS2 CP0 Q3 Q2 Q1 Q0

H H L X X L L L L

H H X L X L L L L

X L H H X H L L H

L X H H X H L L H

L X L X 计数

L X X L 计数

X L L X 计数

X L X L 计数

计数器部分由6个74LS90组成,构成了数字跑表的以下3个部分。

1)“1/100秒”电路如图3-2所示

图3-2 1/100秒电路图

如图3-2所示,此电路是利用74LS90芯片组成的100进制计数器。U12和U10中均将Q0接CKB,R9(1)和R9(2)初始时接地,R0(1)和R0(2)接在一起经过清零开关接地,进行十进制计数,而U10中的Q3接U12的CKA ,当U10计数到9在变为0时,从二进制数1001变成0000,Q3从1变0,产生下降沿脉冲,使U12开始计数。从而就构成了100进制计数器。

2)“秒”电路图如图3-3所示

图3-3秒电路图

如图3-3所示,U14和U11的CKB端接法同U10。U11的接法与U10完全相同,原

理也类似,这里省略。U14的CKA端接U4的Q3;U14的Q1接到二输入或非门U8C,输出再接非门U15B,输出再接R0(1),或门另一输入端接开关;同理,U14的Q2接到二输入或非门U8B,输出再接非门U15A,输出再接R0(2),或门另一端接开关;U14的Q3接U7的CKA。

3)“分”电路与秒电路类似,这里省略。此6个74ls90计数器的输出端分别接4线-7线译码器,再接7端数码管即可完成显示功能。

3.2启动和暂停单元

启动/暂停控制电路图如图3-4所示

图3-4启动/暂停控制电路图

如图3-4所示,启动/暂停部分采用2输入四正或非门74LS02芯片,开关接地时,启动计时,开关接电源时,运用或非门的控制作用,将1端输入的时序脉冲信号禁止从3输出。

74LS02引脚图如图3-5所示

Vc c 4Y 4B 4A 3Y 3B 3A

┌┴─┴─┴─┴─┴─┴─┴┐

│14 13 12 11 10 9 8│

)│

│ 1 2 3 4 5 6 7│

└┬─┬─┬─┬─┬─┬─┬┘

1Y 1A 1B 2Y 2A 2B GND

图3-5 74LS02引脚图

其功能表如表3-2所示

表3-2 74ls02功能表

输入输出

A B Y

H H L

L H L

H L L

L L H

3.3清零功能单元

清零电路如入3-6所示

图3-6清零电路图

如图3-6所示,清零部分使用二输入或非门及反向器。当清零端置“0”时,计数器正常工作,当清零开关置“1”时,通过三输入或非门和反向器使RD(1)=RD(2),强制清零。

74LS04非门芯片引脚图如图3-7所示

Vcc 6A 6Y 5A 5Y 4A 4Y

┌┴─┴─┴─┴─┴─┴─┴┐

│14 13 12 11 10 9 8│

)│

│1 2 3 4 5 6 7│

└┬─┬─┬─┬─┬─┬─┬┘

1A 1Y 2A 2Y 3A 3Y GND

图3-7 74LS04引脚图

74LS04功能表如表3-3所示

表3-3 74LS04功能表

A Y

L H

H L

二输入或非门芯片74LS02引脚图如图3-8所示

Vcc 4Y 4B 4A 3Y 3B 3A

┌┴─┴─┴─┴─┴─┴─┴┐

│14 13 12 11 10 9 8│

)│

│1 2 3 4 5 6 7│

└┬─┬─┬─┬─┬─┬─┬┘

1Y 1A 1B 2Y 2A 2B GND

图3-8 74LS02引脚图

74LS02功能表如表3-4所示

表3-4 74ls02功能表

输入输出

A B Y

H H L

L H L

H L L

L L H

3.4脉冲输出电路

555多谐振荡器脉冲输出电路如图3-9所示

图3-9脉冲产生电路图

如图3-9所示,采用555定时器构成的多谐振荡器产生频率为100HZ 的时序脉冲,3脚为脉冲输出端,其中参数选择为:

R1=6.4K Ω,R2=4K Ω,C1=1μF,C2=1μF 。 555定时器的引脚图如图3-10所示:

图3-10 555定时器引脚图

1 2 3 6 5

4

7 8 555 定时器

R

Q TIRG GND CI

THR DIS VCC

3.5译码及显示电路

译码器选择4线-7线译码器即74LS48芯片,数码管选7段数码管7SEG-COM-ANODE。

74LS48引脚图如图3-11所示

Vcc f g a b c d e

┌┴──┴──┴──┴──┴──┴──┴──┴┐

│16 15 14 13 12 11 10 9 │

)│

│1 2 3 4 5 6 7 8 │

└┬──┬──┬──┬──┬──┬──┬──┬┘

B C LT BI/RBO RBI D A GND

图3-11 74LS48引脚图

74LS48功能表如表3-5所示:

74LS48功能表

十进制或功能

输入RBO/

BI

输出

LT RBI D C B A a b c d e f g

0 H H L L L L H输出H H H H H H L

1 H X L L L H H L H H L L L L

2 H X L L H L H H H L H H L H

3 H X L L H H H H H H H L L H

4 H X L H L L H L H H H H H H

5 H X L H L H H H L H H L H H

6 H X L H H L H H L H H H H H

7 H X L H H H H H H H L L L L

8 H X H L L L H H H H H H H H

9 H X H L L H H H H H H L H H

7段数码器的引脚图如图3-12所示:

图3-12 7段数码管引脚图

此处使用的是共阴极数码管,须将图3-12中的“—”端接地。此部分使用4线-7线译码器的四个输入端分别接计数器的4个输出端,再将译码器的7个输出端接到数码管的7个输入端,这样就构成了译码及显示电路。

4调试与检测

4.1调试中故障及解决办法

按照小组方案原理图,我们在第一次连接实物电路时,一次性将电路全部接线。电路接好后,连接电源调试,发现显示管全亮,但是无变化。由于电路连线过于复杂,不便于调试检测,在老师的建议下,我们小组又选择了部分电路接线,只接通了毫秒和秒计时电路,经过认真仔细的连线,注意到各芯片之间不要混搭,连线要清晰。

待接好线后,我们首先在555多谐振荡器的输出端接了一个发光二极管,待接通电源后,观察发现二极管一直发光,说明未有脉冲产生。于是检查各接点连接,重点检查了接电源端和接地端,发现并无问题。于是我们怀疑中间接线错误,更换一位小组成员重新连接电路。然后观察发现二极管间歇发光,可以说明有脉冲产生。

然后再将脉冲输出端接通到计数器的脉冲输入端,接通电源,合上开关后观察显示器。发现显示器不亮。于是我们先检验计数器74ls90,我们将发光二极管连接至输出端Q3,发现二极管不亮,电路连接有问题,于是我们检验计数器电路,重新连接后,发现二极管有规律闪烁。把二极管依次连接至Q1、Q2、Q3检验,发现均规律性闪烁,证明计数器工作正常。

然而此时显示管依旧不亮,于是我们将二极管依次连接至译码器各输出端进行检验,观察发现二极管规律闪烁,证明译码器工作正常。检查显示管连线,发现接线对应错误,且共阴极接错成共阳极,电路改正后显示管工作正常。

其他三位显示电路同理进行检查调试。

控制电路存在启动/暂停开关和清零开关,在计数过程中使用各开关,观察显示器,看是否实现各功能。若不能实现,则检查个逻辑门电路线路连接是否正确,直到正确实现功能为止。

4.2调试与运行结果

待检查线路连接正确无误后,接通电源,合上开关,启动计时功能,通过观察显示器,可以看到,电路实现了数字跑表的计时功能,且各开关也具有启动/暂停和清零功能。各功能都正常,说明数字跑表设计成功。

5仿真操作步骤及使用说明

一各部件说明:

1).有六个数码管,从左至右分别表示“分”、“秒”、“1/100秒”。

2).开关SW1控制启动与暂停,开关SW2控制清零,由人控制。

二操作说明

1)初始时SW1置于电源端,SW2置于接地端。

2)接通电源后数码管显示“00 00 00”,开关S1拨至接地端,电路开始计时,再将SW1拨于电源端,计时暂停,任何时候SW2拨向电源端,计数清零。然后再将SW2拨回接地端,则又可以重新开始计数。

3)当计时到59分59秒99微妙时,在下一个时序脉冲到来后显示器显示“00 00 00“,完成一个计时循环,并且系统自动跳到下一个计时循环。

结束语

此次课程设计,从设计到仿真,从连接实物到调试检验,我都经历了一个困难重重,愈挫愈勇的过程。设计电路时,冥思苦想最优方案,好不容易在为此特意学会的PROTEUS 软件上连接完电路,却又在仿真中遇到许多问题不能成功。从仿真开始,我就发现查找错误是一个需要耐心的工作。仿真结束后,曾经以为事情完成了大半,得意洋洋的休息了一两天。直到后来连接实物,我才发现之前的仿真只是小意思,连接实物中遇到的问题之多、检验难度之大绝对是仿真所不能比的。

于是在此次课程设计中,我着实的得到了不少锻炼。且不说设计电路时让我拣起了不少不太熟悉和有些遗忘的知识,且不说在电脑上连接电路仿真查错到半夜三点的煎熬。光是连接实物中所遇到的各种问题,就让我几次想要放弃。但是我还是坚持了下来,耐着性子将电路调试成功。这个过程中,我不仅掌握了调试电路的几种方法,更是理解了科学研究的严谨认真的态度和踏踏实实做事的习惯。

我们最终能够成功,小组每个成员的努力都是不可缺少的。在遇到问题的时候,大家各自寻找解决的办法,才使得进度得以推进;在遇到不顺情绪低落的时候,大家互相鼓励才得以重振旗鼓和困难作对。在本次设计的过程中,我体会到了团队合作的力量和重要性。

本次课程设计,不但加深了我对课本知识的理解,并且在实践中提高了我的分析能力、设计能力、团结合作能力以及抗挫折的心理调节能力。通过此次设计,我对于电子技术的兴趣大大提高,并且看到了自己和实际工作所需能力的差距,将在日后的学习中以更加认真严谨的态度去对待自己所学的学科。

参考文献

[1] 康华光.电子技术基础-数字部分(第五版),高等教育出版,2006.1

[2] 祁存荣,陈伟.电子技术基础实验(数字部分)武汉理工大学教材中心

[3] 梁宗善.新型集成电路的应用-电子技术基础课程设计,华中理工大学出版社,2007.12

[4] 伍时和数字电子技术基础清华大学出版社2009.4

数字跑表设计说明

西南科技大学 设计报告 课程名称:基于FPGA的现代数字系统设计设计名称:基于原理图的数字跑表设计 姓名: 学号: 班级: 指导教师:

西南科技大学信息工程学院

一、实验目的 1、设计一个数字跑表,具有复位、暂停、秒表等功能 二、实验原理 1.完成一个具有数显输出的数字跑表计数器设计,原理图如下图所示。 、 数字跑表计数器原理图

任务分析: 输入端口: 1)复位信号CLR,当CLR=1,输出全部置0,当CLR=0,系统正常工作。 2)暂停信号PAUSE,当PAUSE=1,暂停计数,当PAUSE=0,正常计数。3)系统时钟CLK,CLK=50MHz 输出端口: 数码管驱动----DATA1,位宽14位,其中,DATA1[7:0]是数码管显示值,DATA1[14:8]是数码管控制端口

屏蔽未用端口---ctr,位宽是2,将未用的两个数码管显示关闭 (1)跑表的计时范围为0.01s~59min59.99s,计时精度为10ms; (2)具有异步复位清零、启动、计时和暂停功能; (3)输入时钟频率为100Hz; (4)要求数字跑表的输出能够直接驱动共阴极7段数码管显示. 按照自顶向下设计,应该分为以下模块: 分频----将下载板上50MHz时钟分频为周期是0.01秒的时钟,提供给百分计数 计数1----百分计数,输入周期是0.01秒的时钟,计数,满100进位,注意个位,十位的不同生成 计数2---60进制计数器,输入百分位,或者秒位的进位,计数,满60向高位进位,注意个位,十位的不同生成 数码管显示控制----驱动数码管数据,显示控制端口。 三、实验步骤 1、数码管显示驱动模块的设计 (1)建立工程:file->New Project,并注意器件、EDA工具的正确选择 (2)建立新Verilog HDL模块编辑窗口,选择资源类型为Verilog Module,并输入合法文件名,在文本编辑窗口输入代码。 (3)执行综合得到综合后的电路,并进行功能时序仿真。 2.计数器模块的设计 设计步骤同数码管的设计,并完成模块的设计输入、综合、功能仿真。 3.数码管和计数器组合为一个系统 用Verilog HDL将数码管和计数器组合为一个模块,完成综合、功能仿真,分析波形,修正设计。

数字电子钟设计说明

华南农业大学 电子线路综合设计 数字电子钟 班级:14电气类8班组别:4 指导教师: 2016年月

电子数字钟是一种用数字电路技术实现时、分、秒计时的装置,比机械式时钟具有更高的精确性。本次课程设计的电子数字钟,具有以下功能:用24进制,从00开始到23后再回到00,各用2位数码管显示时、分、秒(如23:52:45);可实现手动或自动的对时、分进行校正;计时过程具有报时功能,当时间到达整点前10秒进行报时,蜂鸣器响1秒停1秒地响5次。整个电路设计主要包括秒信号产生电路、时分秒计数电路、译码显示电路、时分的校正电路以及整点报时电路。 秒信号产生电路由石英晶体振荡器和分频器实现,将此信号接到秒计数器的信号输入端,在秒信号的驱动下,秒计数器向分计数器进位,分计数器向时计数器进位,最后通过译码器将计数器中的状态以时间的形式显示在数码管。整点报时电路由计时电路的输出状态产生脉冲信号送至蜂鸣器实现报时。校时电路加上一个脉冲送到时分计时器电路从而实现时和分的校整。 为了更好的完成本次课程设计,我们对题目进行了分析讨论,参考了很多相关的资料,同时考虑到实验室能提供的设备仪器及元件,确定了初步的设计方案;经过多次软件仿真,确定并完善了最终的设计方案。根据设计方案进行焊接、电子仪表检查、调试并测量电路的工作状态,排除电路故障,调整元件参数,改进电路性能,使之达到设计的指标和要求,做出成品。 关键词:晶体振荡器CD4060 CD4511 74LS90

1系统概述 (1) 1.1 设计任务和目的 (1) 1.2系统设计思路与总体方案 (1) 1.3设计方案选择 (1) 1.4总体工作过程 (2) 1.5各功能模块的划分和组成 (2) 2电路系统设计与分析 (4) 2.1秒信号的发生电路 (4) 2.2时、分、秒计数电路 (5) 2.3译码显示电路 (6) 2.4时、分校正电路 (7) 2.5整点报时电路 (8) 3电路的安装与调试 (9) 3.1安装调试的步骤 (9) 3.2电路软件仿真调式 (9) 3.3电路焊接及实物调式 (10) 3.4实验过程可能存在的问题 (10) 4实验数据和误差分析 (11) 5实验结论及分析 (11) 6实验收获、体会和建议 (12) 参考文献 (13) 附录1元器件清单明细表 (14) 附录2总原理接线图 (15) 附录3 电路焊接实物图 (16) 致 (17)

FPGA数字跑表课程设计

摘要 本设课程设计是基于FPGA的数字跑表的设计,利用Verilog HDL 语言和Quartus II软件以及FPGA实验操作平台来实现的。本论文的重点是用硬件语言Verilog HDL 来描述数字跑表,偏重于软件设计。大致内容是首先简单介绍了EDA的现状和前景, Verilog HDL 语言特点,应用平台FPGA,之后阐述了数字跑表的设计思想和大体的设计流程,最后进入本设计的核心设计部分,用Verilog HDL 语言设计数字跑表电路,着重对各个模块进行了详细的分析和说明。 【关键词】Verilog HDL 语言;Quartus II 软件;数字秒表

目录 1 绪论 (2) 1.1 EDA的现状和发展及FPGA简介 (2) 1.2 Verilog HDL语言及QuartusⅡ软件简介 (2) 1.3 基于FPGA实现数字跑表运行的方案设计基本原则 (3) 1.4 论文主要完成的工作 (3) 2 系统的硬件设计 (4) 2.1数字跑表概述 (4) 2.2整体方案设计和功能分割 (4) 2.3各功能模块的设计和实现 (6) 2.4 控制系统的实现 (8) 3 系统的软件设计 (8) 3.1 软件整体设计 (8) 3.2主要模块软件设计(主要模块流程图和仿真波形图) (9) 4 总结 (12) 参考文献 (13)

1.绪论 1.1 EDA简介及FPGA简介 EDA是电子设计自动化(Electronic Design Automation)的缩写,在20 世纪60年代中期从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。伴随着集成电路(IC)技术的发展,电子设计自动化(EDA)逐渐成为重要的设计手段,已经广泛应用于模拟与数字电路系统等许多领域。而电子设计自动化(EDA)的实现是与 CPLD/FPGA技术的迅速发展息息相关的,利用PLD/FPGA,电子系统设计工程师可以在实验室中设计出专用IC,实现了系统的集成。此外,CPLD/FPGA还具有静态可重复编程或在线动态重构特性,使硬件的功能可像软件一样通过编程来修改,不仅使设计修改和产品升级变得十分方便,而且极大地提高了电子系统的灵活性和通用能力。随着计数的进步,自动化设计工具(从CAD到EDA及ESDA:Electronic System Design Automation)已成为电子信息设计人员所必需熟悉和掌握的一门技术。 FPGA(Field-Programmable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。自1985年Xilinx公司推出第一片现场可编程逻辑器件至今,FPGA已经历了十几年的历史。在这十几年的发展过程中,以FPGA为代表的数字系统现场集成取得了惊人的发展:现场可编程逻辑器件从最初的1200个可利用门,发展到数百万门的单片FPGA芯片,将现场可编程器件的集成度提高到一个新的水平。它之所以具有巨大的市场吸引力,根本在于:FPGA不仅可以解决电子系统小型化、低功耗、高可靠性等问题,而且其开发周期短、开发软件投入少、芯片价格不断降低,促使FPGA越来越多地取代了ASIC的市场,特别是对小批量、多品种的产品需求,使FPGA成为首选。目前,FPGA的主要发展动向是:随着大规模现场可编程逻辑器件的发展,系统设计进入"片上可编程系统"(SOPC)的新纪元;芯片朝着高密度、低压、低功耗方向挺进;国际各大公司都在积极扩充其IP库,以优化的资源更好的满足用户的需求,扩大市场;特别是引人注目的所谓FPGA动态可重构技术的开拓,将推动数字系统设计观念的巨大转变。

eda课程设计报告多功能数字钟设计大学论文

湖北大学物电学院EDA课程设计报告(论文) 题目:多功能数字钟设计 专业班级: 14微电子科学与工程 姓名:黄山 时间:2016年12月20日 指导教师:万美琳卢仕 完成日期:2015年12月20日

多功能数字钟设计任务书 1.设计目的与要求 了解多功能数字钟的工作原理,加深利用EDA技术实现数字系统的理解 2.设计内容 1,能正常走时,时分秒各占2个数码管,时分秒之间用小时个位和分钟个位所在数码管的小数点隔开; 2,能用按键调时调分; 3,能整点报时,到达整点时,蜂鸣器响一秒; 4,拓展功能:秒表,闹钟,闹钟可调 3.编写设计报告 写出设计的全过程,附上有关资料和图纸,有心得体会。 4.答辩 在规定时间内,完成叙述并回答问题。

目录(四号仿宋_GB2312加粗居中) (空一行) 1 引言 (1) 2 总体设计方案 (1) 2.1 设计思路 (1) 2.2总体设计框图 (2) 3设计原理分析 (3) 3.1分频器 (4) 3.2计时器和时间调节 (4) 3.3秒表模块 (5) 3.4状态机模块 (6) 3.5数码管显示模块 (7) 3.6顶层模块 (8) 3.7管脚绑定和顶层原理图 (9) 4 总结与体会 (11)

多功能电子表 摘要:本EDA课程主要利用QuartusII软件Verilog语言的基本运用设计一个多功能数字钟,进行试验设计和软件仿真调试,分别实现时分秒计时,闹钟闹铃,时分手动较时,时分秒清零,时间保持和整点报时等多种基本功能 关键词:Verilog语言,多功能数字钟,数码管显示; 1 引言 QuartusII是Altera公司的综合性PLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL 以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程,解决了传统硬件电路连线麻烦,出错率高且不易修改,很难控制成本的缺点。利用软件电路设计连线方便,修改容易;电路结构清楚,功能一目了然 2 总体设计方案 2.1 设计思路 根据系统设计的要求,系统设计采用自顶层向下的设计方法,由时钟分频部分,计时部分,按键调时部分,数码管显示部分,蜂鸣器四部分组成。这些模块在顶层原理图中相互连接作用 3 设计原理分析 3.1 分频器 分频模块:将20Mhz晶振分频为1hz,100hz,1000hz分别用于计数模块,秒表模块,状态机模块 module oclk(CLK,oclk,rst,clk_10,clk_100); input CLK,rst; output oclk,clk_10,clk_100;

数字秒表的设计与实现实验报告

电子科技大学《数字秒表课程设计》 姓名: xxx 学号: 学院: 指导老师:xx

摘要 EDA技术作为电子工程领域的一门新技术,极大的提高了电子系统设计的效率和可靠性。文中介绍了一种基于FPGA在ISE10.1软件下利用VHDL语言结合硬件电路来实现数字秒表的功能的设计方法。采用VHDL硬件描述语言,运用ModelSim等EDA仿真工具。该设计具有外围电路少、集成度高、可靠性强等优点。通过数码管驱动电路动态显示计时结果。给出部分模块的VHDL源程序和仿真结果,仿真结果表明该设计方案的正确,展示了VHDL语言的强大功能和优秀特性。 关键词:FPGA, VHDL, EDA, 数字秒表

目录 第一章引言 (4) 第二章设计背景 (5) 2.1 方案设计 (5) 2.2 系统总体框图 (5) 2.3 -FPGA实验板 (5) 2.4 系统功能要求 (6) 2.5 开发软件 (6) 2.5.1 ISE10.1简介 (6) 2.5.2 ModelSim简介 (6) 2.6 VHDL语言简介 (7) 第三章模块设计 (8) 3.1 分频器 (8) 3.2 计数器 (8) 3.3 数据锁存器 (9) 3.4 控制器 (9) 3.5 扫描控制电路 (10) 3.6 按键消抖电路 (11) 第四章总体设计 (12) 第五章结论 (13) 附录 (14)

第一章引言 数字集成电路作为当今信息时代的基石,不仅在信息处理、工业控制等生产领域得到普及应用,并且在人们的日常生活中也是随处可见,极大的改变了人们的生活方式。面对如此巨大的市场,要求数字集成电路的设计周期尽可能短、实验成本尽可能低,最好能在实验室直接验证设计的准确性和可行性,因而出现了现场可编程逻辑门阵列FPGA。对于芯片设计而言,FPGA的易用性不仅使得设计更加简单、快捷,并且节省了反复流片验证的巨额成本。对于某些小批量应用的场合,甚至可以直接利用FPGA实现,无需再去订制专门的数字芯片。文中着重介绍了一种基于FPGA利用VHDL硬件描述语言的数字秒表设计方法,在设计过程中使用基于VHDL的EDA工具ModelSim对各个模块仿真验证,并给出了完整的源程序和仿真结果。

数字电子钟--设计加详细说明(全)

中国……….. 电子技术课程设计总结报告题目:数字电子钟 学生姓名: 系别: 专业年级: 指导教师: 年月日

一、设计任务与要求 1、用单片机设计一个数字电子钟,采用LED数码管来显示时间。 2、显示格式为:XX:XX:XX,即:时:分:秒。 3、时间采用24小时制显示, 4、设置一个按键用于时间显示方式的切换,能进行时间的调整,可暂停时间的变动。.. 二、方案设计与论证 图1 系统整体框图 1、单片机芯片选择方案 方案一:AT89S52是一个低功耗,高性能CMOS 8位单片机,片内含4k Bytes ISP(In-system programmable)的可反复擦写1000次的Flash只读程序存储器。主要性能有:与MCS-51单片机产品兼容、全静态操作:0Hz~33Hz、三级加密程序存储器、32个可编程I/O口线、三个16位定时器/计数器、八个中断源、全双工UART串行通道、掉电后中断可唤醒、看门狗定时器、双数据指针、掉电标识符、易编程。 方案二:AT89C52是一个低电压,高性能CMOS 8位单片机,片内含8k bytes 的可反复擦写的Flash只读程序存储器和256 bytes的随机存取数据存储器(RAM)。主要性能有:兼容MCS51指令系统、32个双向I/O口、256x8bit内部RAM、3个16位可编程定时/计数器中断、时钟频率0-24MHz、2个串行中断、可编程UART串行通道、2个外部中断源、6个中断源、2个读写中断口线、3级加密位、低功耗空闲和掉电模式、软件设置睡眠和唤醒功能。 从单片机芯片主要性能角度出发,本数字电子钟单片机芯片选择设计采用方案一。 2、数码管显示选择方案 方案一:静态显示。静态显示,即当显示器显示某一字符时,相应的发光二极管恒定导通或截止。该方式每一位都需要一个8 位输出口控制。静态显示时

FPGA数字跑表

电子信息工程专业 FPGA与ASIC设计实践教程 设计报告 班级:电子信息工程1303班 学号:201315110 姓名: 田佳鑫 日期:2015年11月4日 指导老师:何英昊

目录 1系统总体方案及硬件设计 (3) 1.1设计内容 (3) 1.2 设计要求 (3) 1.3 实现要求 (3) 2各模块设计及电路图 (3) 2.1设计项目简介 (3) 2.2分块设计代码 (4) 2.3总体框图设计 (7) 2.4管脚锁定图 (8) 3课程设计体会 (8)

1系统总体方案及硬件设计 1.1 设计内容 数字跑表电路 1.2设计要求 (1)跑表的计时范围为0.01—59min59.99s。 (2)具有异步清零、启动。计时和暂停功能。 (3)输入时钟频率为100Hz。 (4)要求数字跑表的输出能够直接驱动共阴极7段数码管。 1.3 实现要求 (1)分析功能要求,划分功能模块。 (2)编写各模块的Verilog HDL语言设计程序。 (3)在QuartusⅡ软件或其他EDA软件上完成设计和仿真。 (4)根据实验装置上的CPLD/FPGA芯片,在适配时选择相应的芯片,将设计生成配置文件或JEDEC文件,然后将配置文件或JEDEC文件下载到实验装置上运行,操作实验装置上设定的功能开关,验证设计功能。 2各模块设计及电路图 2.1 设计项目简介 主控模块分别连接6个数码管显示模块和分频模块,分频模块给主控模块的计数器提供时钟源,主控模块在按键的控制下,在其中计数器的作用下输出给数码管显示装置,实现跑表功能。 振荡器 控制按键分频器计 数 器 显 示

2.2分块设计代码 (1)分频模块: module fenpin(CLK,CLK2); //输入50MHz,输出分频到1Hz input CLK; output CLK2; reg CLK2; reg[31:0] counter2; parameter N2=5000000; always@(posedge CLK) begin if(counter2==250000) begin counter2<=0; CLK2<=~CLK2; end else counter2<=counter2+1; end endmodule (2)控制模块: module sz(clk,clr,pause,msh,msl,sh,sl,mh,ml); inputclk,clr; input pause; output[3:0]msh,msl,sh,sl,mh,ml; reg[3:0]msh,msl,sh,sl,mh,ml; reg cn1,cn2; always@(posedgeclk or posedgeclr) begin if(clr) begin {msh,msl}<=8'h00; cn1<=0; end else if(!pause) begin

EDA综合课程设计_数字时钟设计一、题_001

EDA综合课程设计-数字时钟设计 一、题目要求 1、功能 1)具有时、分、秒计数显示功能,以24小时循环计时。 2)时钟计数显示时有LED灯的花样显示。 3)具有调节小时、分钟、秒及清零的功能。 4)具有整点报时功能。 2、总体方框图 3、性能指标及功能设计 1)时钟计数:完成时、分、秒的正确计时并且显示所计的数字;对秒、分——60进制计数,即从0到59循环计数,时钟——24进制计数,即从0到23循环计数,并且在数码管上显示数值。 2)时间设置:手动调节分钟、小时,可以对所设计的时钟任意调时间,这样使数字钟真正具有使用功能。我们可以通过实验板上的键7和键4进行任意的调整,因为我们用的时钟信号均是1HZ的,所以每LED灯变化一次就来一个脉冲,即计数一次。 3)清零功能:reset为复位键,低电平时实现清零功能,高电平时正常计数。可以根据我们自己任意时间的复位。 4)蜂鸣器在整点时有报时信号产生,蜂鸣器报警。产生“滴答.滴答”的报警声音。 5)LED灯在时钟显示时有花样显示信号产生。即根据进位情况,LED不停的闪烁,从而产生“花样”信号。

根据总体方框图及各部分分配的功能可知,本系统可以由秒计数器、分钟计数器、小时计数器、整点报时、分的调整以及小时的调整和一个顶层文件构成。采用自顶向下的设计方法,子模块利用VHDL语言设计,顶层文件用原理图的设计方法。显示:小时采用24进制,而分钟均是采用6进制和10进制的组合。 数字时钟系统顶层原理图

多功能数字时钟的课程设计报告 1、本设计可以实现的功能 1)具有时、分、秒计数显示功能,以24小时循环计时。 2)时钟计数显示时有LED灯的花样显示。 3)具有调节小时、分钟及清零的功能。 4)具有整点报时功能。 2、初步设计的总体方框图 3、性能指标及功能设计 1)时钟计数:完成时、分、秒的正确计时并且显示所计的数字;对秒、分——60进制计数,即从0到59循环计数,时钟——24进制计数,即从0到23循环计数,并且在数码管上显示数值。 2)时间设置:手动调节分钟、小时,可以对所设计的时钟任意调时间,这样使数字钟真正具有使用功能。我们可以通过实验板上的K1-K7进行任意的调整,因为我们用的时钟信号均是1HZ的,所以每LED灯变化一次就来一个脉冲,即计数一次。 3)清零功能:reset为复位键,低电平时实现清零功能,高电平时正常计数。

数字钟课程设计

摘要本次课程设计的主题是数字电子钟。干电路系统由秒信号发生器、“时、分、秒”计数器、显示器、整点报时电路组成。秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,这里用多谐振荡器加分频器来实现。将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。“分计数器”也采用60进制计数器,每累计60分钟,发出一个“时脉冲”信号,该信号将被送到“时计数器”。“时计数器”采用24进制计时器,可实现对一天24小时的累计。译码显示电路将“时”、“分”、“秒”计数器的输出状态送到七段显示译码器译码,通过七位LED七段显示器显示出来。整点报时电路时根据计时系统的输出状态产生一脉冲信号,然后去触发蜂鸣器实现报时。 数字电子时钟优先编码电路、译码电路将输入的信号在显示器上输出;用控制电路和调节开关对LED显示的时间进行调节,以上两部分组成主体电路。通过译码电路将秒脉冲产生的信号在报警电路上实现整点报时功能等,构成扩展电路。本次设计由震荡器、秒计数器、分计数器、时计数器、BCD-七段显示译码/驱动器、LED七段显示数码管设计了数字时钟电路,可以实现:计时、显示,时、分校时,整点报时等功能。 关键字:数字时钟,振荡器,计数器,报时电路

目录 1 绪论 0 1.1课题描述 0 1.2设计任务与要求 0 1.3基本工作原理及框图 (1) 2 相关元器件及各部分电路设计 (2) 2.1相关主要元器件清单 (2) 2.2 六十进制“秒”计数器设计 (3) 2.3 六十进制“分”计数器设计 (4) 2.4 二十四进制计数器设计 (4) 2.5 秒脉冲电路设计 (5) 2.6整点报时电路设计 (6) 3 总体电路图 (7) 总结 (8)

EDA课程设计——多功能数字钟

哈尔滨工业大学(威海) 电子学课程设计报告带有整点报时的数字钟设计与制作 姓名: 蒋栋栋 班级: 0802503 学号: 080250331 指导教师: 井岩

目录 一、课程设计的性质、目的和任务 (3) 二、课程设计基本要求 (3) 三、设计课题要求 (3) 四、课程设计所需要仪器 (4) 五、设计步骤 (4) 1、整体设计框图 (4) 2、各个模块的设计与仿真 (4) 2.1分频模块 (4) 2.2计数器模块 (6) 2.3控制模块 (10) 2.4数码管分配 (13) 2.5显示模块 (14) 2.6报时模块 (16) 六、调试中遇到的问题及解决的方法 (18) 七、心得体会 (18)

一、课程设计的性质、目的和任务 创新精神和实践能力二者之中,实践能力是基础和根本。这是由于创新基于实践、源于实践,实践出真知,实践检验真理。实践活动是创新的源泉,也是人才成长的必由之路。 通过课程设计的锻炼,要求学生掌握电路的一般设计方法,具备初步的独立设计能力,提高综合运用所学的理论知识独立分析和解决问题的能力,培养学生的创新精神。 二、课程设计基本要求 掌握现代大规模集成数字逻辑电路的应用设计方法,进一步掌握电子仪器的正确使用方法,以及掌握利用计算机进行电子设计自动化(EDA)的基本方法。 三、设计课题要求 (1)构造一个24小时制的数字钟。要求能显示时、分、秒。 (2)要求时、分、秒能各自独立的进行调整。 (3)能利用喇叭作整点报时。从59分50秒时开始报时,每隔一秒报时一秒,到达00分00秒时,整点报时。整点报时声的频率应与其它的报时声频有明显区别。 #设计提示(仅供参考): (1)对频率输入的考虑 数字钟内所需的时钟频率有:基准时钟应为周期一秒的标准信号。报时频率可选用1KHz和2KHz左右(两种频率相差八度音,即频率相差一倍)。另外,为防止按键反跳、抖动,微动开关输入应采用寄存器输入形式,其时钟应为几十赫兹。 (2)计时部分计数器设计的考虑 分、秒计数器均为模60计数器。 小时计数为模24计数器,同理可建一个24进制计数器的模块。 (3)校时设计的考虑 数字钟校准有3个控制键:时校准、分校准和秒校准。 微动开关不工作,计数器正常工作。按下微动开关后,计数器以8Hz频率连续计数(若只按一下,则计数器增加一位),可调用元件库中的逻辑门建一个控制按键的模块,即建立开关去抖动电路(见书70页)。 (4)报时设计的考虑

课程设计 多功能秒表报告

武夷学院 课程设计(论文)基于单片机的多功能秒表设计 院系:电子工程系 专业(班级):09电信(一)班 姓名:鞠建龙 学号: 20094081009 指导教师:邵海龙 职称:助教 完成日期: 2011 年 12 月 1 日 武夷学院教务处制

摘要 近年来随着科技的飞速发展,单片机的应用正在不断的走向深入。本文阐述了基于单片机的多功能电子秒表设计。本设计主要特点是具有倒计时功能,还可以按圈计时,而且误差在0.01,,是各种体育竞赛的必备设备之一,另外硬件部分设置了查看按键。 本设计的数字电子秒表系统采用AT89C52单片机为中心器件,利用其定时器/计数器定时和记数的原理,结合显示电路、数码管以及外部中断电路来设计计时器。将软、硬件有机地结合起来,使得系统能够实现8位LED显示,显示时间24小时内,计时精度为0.01秒,能正确地进行计时,同时能记录一次时间,并在下一次计时后对上一次计时时间进行查询。其中软件系统采用C语言编写程序,包括显示程序,定时中断服务延时程序等,并在KEIL中调试运行,硬件系统利用PROTEUS强大的功能来实现,简单切易于观察,在仿真中就可以观察到实际的工作状态。 关键字:单片机;数字电子秒表;仿真

Abstract With the rapid development of science and technology in recent years, SCM applications are constant-depth manner. In this paper, based on single chip design of digital electronic stopwatch. The main characteristics of this design timing accuracy of 0.01s, to solve the traditional result of a lack accuracy due to timing errors and unfair, and is a variety of sports competitions, one of the essential equipment. In addition the hardware part of the set View button on the stopwatch can be the last time to save time for user queries. The design of the multi-function stopwatch system uses STC89C52 microcontroller as the central device, and use its timer / counter timing and the count principles, combined with display circuit, LED digital tube, as well as the external interrupt circuit to design a timer. The software and hardware together organically, allowing the system to achieve two LED display shows the time within 24 hours, Timing accuracy of 0.01 seconds, Be able to correctly time at the same time to record a time, and the next time after the last time the time to search.automatically added a second in which software systems using assembly language programming, including the display program, timing, interrupt service, external interrupt service routine, delay procedures, key consumer shaking procedures, and WAVE in the commissioning, operation, hardware system uses to achieve PROTEUS powerful, simple and easy to observe the cut in the simulation can be observed on the actual working condition. Keyword:LED display;High-precision stopwatch;STC89C52

FPGA数字秒表设计

基于EDA的数字秒表 设计论文 班级:11电信二班 同组人员:孙兴义 20111060223 张忠义 20111060240

基于EDA的数字秒表设计 摘要:该设计是用于体育比赛的数字秒表,基于EDA在Quartus II 9.0sp2软件下应用VHDL语言编写程序,采用ALTRA公司CycloneII系列的EP2C8Q208 芯片进行了计算机仿真,并给出了相应的仿真结果。本设计有效的克服了传统的数字秒表的缺点采用EDA技术采取自上而下的设计思路。绘制出了具体的逻辑电路,最后又通过硬件上对其进行调试和验证。该电路能够实现很好的计时功能,计时精度高,最长计时时间可达一个小时。 关键字:数字秒表;EDA;FPGA;VHDL;Quartus II 1引言 在科技高度发展的今天,集成电路和计算机应用得到了高速发展。尤其是计算机应用的发展。它在人们日常生活已逐渐崭露头角。大多数电子产品多是由计算机电路组成,如:手机、mp3等。而且将来的不久他们的身影将会更频繁的出现在我们身边。各种家用电器多会实现微电脑技术。电脑各部分在工作时多是一时间为基准的。本文就是基于计算机电路的时钟脉冲信号、状态控制等原理设计出的数字秒表[1]。秒表在很多领域充当一个重要的角色。在各种比赛中对秒表的精确度要求很高,尤其是一些科学实验。他们对时间精确度达到了几纳秒级别。 2 设计要求 (1) 能对0秒~59分59.99秒范围进行计时,显示最长时间是59分59秒; (2) 计时精度达到0.01s; (3) 设计复位开关和启停开关,复位开关可以在任何情况下使用,使用以后计时器清零,并做好下一次计时的准备。设计由控制模块、时基分频模块,计时模块和显示模块四部分组成。各模块实现秒表不同的功能 3 数字秒表设计的目的 本次设计的目的就是在掌握EDA实验开发系统的初步使用基础上,了解EDA技术,对计算机系统中时钟控制系统进一步了解,掌握状态机工作原理,同时了解计算机时钟脉冲是怎么产生和工作的。在掌握所学的计算机组成与结构课程理论知识时。通过对数字秒表的设计,进行理论与实际的结合,提高与计算

数字钟应用课程设计说明书

数字钟应用课程设计 说明书 1、数字钟原理设计 1.1芯片介绍 本科设设计的数字钟主要应用到74ls90芯片的计数功能,通过辅助电路完成六十进制和十二进制计数,从而实现数字钟的功能。74ls90是包含一个二分频和五分频的计数器,其逻辑功能键表1。 表1 74ls90逻辑功能 用74ls90还能实现十进制计数,把Q0接到五进制CP端即可,如图1。从CP0端输入脉冲信号输出即为8421码十进制计数。 图1 用74ls90实现十进制计数 1.2单元电路原理 1.2.1脉冲信号的产生 这里用到的是用555定时器设计的多谐振荡器,多谐振荡器的优点是在接通

电源之后就可以产生一定频率和一定幅值矩形波的自激振荡器,而不需要再外加输入信号。而用555定时器设计的多谐振荡器也有很多优点,由于555定时器部的比较器灵敏度较高,而且采用差分电路形式,这样就使多谐振荡器产生的振荡频率受电源电压和环境温度变化的影响很小。 接通电源后,电容C2被充电,当V C 上升到2/3V CC 时,使输出电压为低电平, 同事放电三极管T导通,此时电容C2通过R B 和T放电,V C 下降。当V C 下降到2/3V CC 时,V0翻转为高电平。当放电结束后,T管截止,V CC 将通过R A 和R B 向电容器C2 充电,当V C 上升到2/3V CC 时,电路又翻转为低电平。如此周而复始,于是,在电 路的输出端就得到一个周期性的矩形波。 图2 脉冲信号产生电路 脉冲周期T=(R1+R2)C㏑2,取R1=R2=721k,C1=1μf,C2=0.01μf。带入数据计算得T=1s。输出波形占空比=R1/(R1+R2)=1/2。输出波形如图3。

数字跑表

清华大学 信息工程学院 课程设计报告书题目: 数字跑表 课程:数字系统设计 专业:电子信息科学与技术 班级: 学号: 学生姓名: 指导教师:

2014年 6月 20日 目录 1简介 (4) 1.1任务的提出 (4) 1.2方案论证 (4) 2总体设计 (5) 2.1整体方案设计和功能分割 (5) 2.2计时电路 (5) 3详细设计及仿真 (7) 3.1各功能模块的设计和实现 (7) 3.1.1控制模块 (7) 3.1.2计时器模块 (8) 3.1.3时基分频器模块 (9) 3.2软件设计 (10) 4总结 (11) 5总程序 (12) 参考文献 (15)

摘要 本设课程设计是基于FPGA的数字跑表的设计,利用VerilogHDL语言和QuartusII软件以及FPGA实验操作平台来实现的。本论文的重点是用硬件语言VerilogHDL来描述数字跑表,偏重于软件设计。大致内容是首先简单介绍了EDA的现状和前景VerilogHDL语言特点,应用平台FPGA,之后阐述了数字跑表的设计思想和大体的设计流程,最后进入本设计的核心设计部分,用VerilogHDL语言设计数字跑表电路,着重对各个模块进行了详细的分析和说明。 关键词:Verilog、HDL语言、QuartusII软件、数字秒表

1简介 1.1任务的提出 V erilog HDL语言是1983年GDA公司的Phil Moorby首创的,之后Moorby有设计了Verilog-XL仿真器获得很大成功,也使得Verilog HDL语言得到发展和推广。Verilog HDL 语言是在C语言的基础上发展而来的。从语法结构上看,Verilog HDL继承和借鉴了C语言的很多语法,两者有许多的相似之处,但Verilog HDL作为一种硬件描述语言,还是有本质的区别。即可适于可综合的电路设计,也可胜任电路和系统的仿真;能在多层次上对所设计的系统加以描述,从开关级、门级,寄存器传输级到行为级等都可以担任,而且没规模限制;灵活多变的电路描述风格,可进行行为描述,也可进行结构描述等;应用十分的广泛。QuartusⅡ软件是Atlera的CPLD/FPGA集成开发软件,具有完善的可视化设计环境,并具有标准的EDA工具接口,基于QuartusⅡ进行EDA设计开发需要以下步骤:设计输入、编译、仿真、编程与验证等。 根据设计要求,首先对数字跑表进行结构和功能的划分。计数器部分设三个输入端,分别为时钟输入(CLK),复位(CLR)和启动/暂停(PAUSE)按键。复位信号高电平有效,可对跑表异步清零;当启动/暂停键为低电平时,跑表开始计时,高电平时暂停,变低后在原来的数值基础上继续计数。然后计数器的每个位的值赋给六选一数据选择器,数据选择器选择的位值再赋给七段数码管译码器,这样就可以显示数字了。 1.2方案论证 本字跑表首先要从最低位的百分秒计数器开始,按照系统时钟进行计数。计数至100后向秒计数器仅为,秒计数器一百分秒计数器的进位位为时钟进行计数。计数至60后向分计数器进位,分计数器以秒计数器的进位位为时钟进行计数。 数字跑表巧妙地运用进位位作为时钟来减少计数的位数。如果统一使用系统时钟作为计数时钟,那秒计数器将是一个6000进制的计数器,额分计数器将是一个3600000进制的计数器。这将极大的浪费FPGA的逻辑资源。而使用进位位作为计数时钟,只需要一个100进制的计数器和两个60进制的计数器。 在实际的设计中,为了是计数器更加简单,计数器使用高低位两个计时器来实现。100进制计数器分别是最高位10进制计数器,地位10进制计数器,60进制计数器分别是高6进制计数器,低位10进制计数器。这样整个数字跑表使用6个计数器来实现。 同时由于10进制计数器重复使用了5次,可以使用独立的模块实现十进制计数器,这样就可以通过模块复用来节省整个模块使用的资源。 数字跑表提供了清零为CLR和暂停位PAUSE,百分秒的时钟信号可以通过系统时钟分频提供。分频至1/100s,即可实现真实的时间计数。详细的时钟分频设计渎职可以参考相关的资料实现。 代码中端口信号的定义: CLK:时钟信号 CLR:异步复位信号 PAUSE:暂停信号 MSH、MSL:百分秒的高位和低位 SH、SL:秒信号的高位和低位

fpga数字钟课程设计报告

f p g a数字钟课程设计报告 Prepared on 24 November 2020

课程设计报告 设计题目:基于FPGA的数字钟设计 班级:电子信息工程1301 姓名:王一丁 指导教师:李世平 设计时间:2016年1月 摘要 EDA(Electronic Design Automation)电子设计自动化,是以大规模可编程器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,通过相关的软件,自动完成软件方式设计得电子系统到硬件系统,最终形成集成电子系统或专用集成芯片。本次课程设计利用Quartus II 为设计软件,VHDL为硬件描述语言,结合所学知识设计一个多功能时钟,具有显示年、月、日、时、分、秒显示,计时,整点报时,设定时间等功能。利用硬件描述语言VHDL 对设计系统的各个子模块进行逻辑描述,采用模块化的思想完成顶层模块的设计,通过软件编译、逻辑化简、逻辑综合优化、逻辑仿真、最终完成本次课程设计的任务。 关键词:EDA VHDL语言数字钟 目录 摘要 1 课程设计目的 2 课程设计内容及要求

设计任务 设计要求 3 VHDL程序设计 方案论证 系统结构框图 设计思路与方法 状态控制模块 时分秒模块 年月日模块 显示模块 扬声器与闹钟模块 RTL整体电路 4 系统仿真与分析 5 课程设计总结,包括.收获、体会和建议 6 参考文献 1 课程设计目的 (1)通过设计数字钟熟练掌握EDA软件(QUARTUS II)的使用方法,熟练进行设计、编译,为以后实际工程问题打下设计基础。 (2)熟悉VHDL 硬件描述语言,提升分析、寻找和排除电子设计中常见故障的能力。 (3)通过课程设计,锻炼书写有理论根据的、实事求是的、文理通顺的课程设计报告。

数字电路EDA课程设计数字跑表

EDA课程设计报告书 题目:数字跑表 姓名: 班级: 学号: 成绩: 一、设计题目及要求

设计题目:数字跑表 要求:1 具有暂停,启动功能。 2 具有重新开始功能。 3 用六个数码管分别显示百分秒,秒和分钟。 二、设计过程及内容 拿到题目后,我在图书馆进行了相关书籍的查阅,首先明确了题目中设计项目要实现的功能,再进一步确定实现其功能的组成部分和使用器件,对于本次设计的总体思路,首先是设计一个控制模块,可以使跑表具有启动、暂停及重新开始的功能;然后,利用一个分频模块即15进制计数器得到100HZ的时钟脉冲,接入到一个100*60*60三个计数器的模块中,完成对时间的计时工作和对选时模块的输出工作,使选时模块得到对应的时间,其次将选时模块与显示模块连接,使数码管显示选中的当前时间,从而完成了这次课程设计的设计工作,进入到实现过程中去。 根据课程设计要求将设计分为5个模块: 1、控制模块,使跑表具有启动、暂停及重新开始的功能; 2、分频模块,用于得到频率为100HZ的时钟脉冲; 3、计时模块,进行时间的计时,同时将当前时间输出给选时模块; 4、选时模块,从计时器得到当前时间输出给显示模块; 5、显示模块,进行时间的显示。 总图如下:

与门可控制时钟信号的输出与否,当跑表为START状态时CLK端为高电平,QA为1,时钟信号输出,当跑表为STOP状态时CLK端为低电平,QA为0,时钟信号不输出,从而实现开始和暂停的功能。REST是清零按钮,REST接到控制模块和总计时器模块的清零端,当REST为高电平时,控制模块和总计数器模块清零,跑表重新开始工作。 第二个模块:分频器模块 将74161接成15进制计数器,将1465HZ的时钟频率转换成近似于100HZ的时钟信号即所需的输入时钟信号,从而实现分频功能。将得到的时钟信号输入到总计数器模块中去。

基于FPGA的数字跑表设计报告

基于FPGA的数字跑表设计报告 姓名: 学号: 指导老师:李颖

摘要:本文详细介绍了数字秒表的设计指标,设计思路,设计方案,系统电路设计,系统单元模块设计,系统硬件实现与测试的结果。 一 引言 科技高度发展的今天,集成电路和计算机应用得到了高速发展。尤其是计算机应用的发展。它在人们日常生活已逐渐崭露头角。大多数电子产品多是由计算机电路组成,如:手机、mp3等。而且将来的不久他们的身影将会更频繁的出现在我们身边。各种家用电器多会实现微电脑技术。电脑各部分在工作时多是一时间为基准的。本文就是基于计算机电路的时钟脉冲信号、状态控制等原理设计出的数字秒表。秒表在很多领域充当一个重要的角色。在各种比赛中对秒表的精确度要求很高,尤其是一些科学实验。他们对时间精确度达到了几纳秒级别。 二 项目任务与设计思路 本项目的任务是掌握使用VHDL 语言的设计思想;熟悉ise 软件的使用;了解XILINX 学生EDA 实验板。了解 EDA 技术,对计算机系统中时钟控制系统进一步了解,掌握状态机工作原理,同时了解计算机时钟脉冲是怎么产生和工作的。在掌握所学的计算机组成与结构课程理论知识时。通过对数字秒表的设计,进行理论与实际的结合,提高与计算机有关设计能力,提高分析、解决 计算机技术实际问题的能力。通过课程设计深入理解计算机结构与控制实现的技术,达到课程设计的目标。 项目任务与设计思路 本项目的指标: 1、跑表精度为0.01秒 2、跑表计时范围为:1小时 3、设置开始计时/停止计时、复位两个按钮 4、显示工作方式:用六位BCD 七段数码管显示读数。 显示格式: 三 基于VHDL 方法设计方案 VHDL 的设计流程主要包括以下几个步骤: 1.文本编辑:用任何文本编辑器都可以进行,也可以用专用的 HDL 编辑环境。 通常VHDL 文件保存为.vhd 文件 2.功能仿真:将文件调入 HDL 仿真软件进行功能仿真,检查逻辑功能是否正确。 3.逻辑综合:将源文件调入逻辑综合软件进行综合,即把语言综合成最简的布尔表达式。逻辑综合软件会生成.edf 或.edif 的 EDA 工业标准文件。 4.布局布线:将.edf 文件调入PLD 厂家提供的软件中进行布线,即把设 分 秒 0.01秒

相关主题
文本预览
相关文档 最新文档