当前位置:文档之家› 百秒内任意设置倒计时秒表,Verilog编程,basys2开发板

百秒内任意设置倒计时秒表,Verilog编程,basys2开发板

百秒内任意设置倒计时秒表,Verilog编程,basys2开发板
百秒内任意设置倒计时秒表,Verilog编程,basys2开发板

DDPP课程设计

0~99秒可设置倒计时器的简单设计与实现

本设计基于Xilinx ISE Design Suite 13.2软件开发平台和其综合工具进行0~99秒可设置倒计时器数字电路的功能设计,在FPGA BSSYS2开发板上来完成设计的测试和实现。

I、总体RTL SCHEMATIC:

端口说明:

CLK_IN为50MHZ时钟输入;

PAUSE 计时暂停;

RESET 计时重置;

S1,S0为重置时设置的倒计时的高位和次高位的起

始值;

QA_OUT连接到数码管阳极;

QC_OUT连接到八段数码管(含小数点)阴极;

图1 RTL SCHEMATIC整体图

图 2 RTL SCHEMA TIC细节图

II、源程序

1)主模块顶层程序top.v:

module top(CLK_IN,RESET,PAUSE,S1,S0,QC_OUT,QA_OUT);

input CLK_IN,RESET,PAUSE;

input [3:0] S1,S0;

output [7:0] QC_OUT;

output [3:0] QA_OUT;

wire CLK_OUT;

wire CLK2_OUT;

wire [3:0] Q1,Q2,Q3,Q4;

wire [7:0] Q1_OUT,Q2_OUT,Q3_OUT,Q4_OUT;

frediv f1(CLK_OUT,CLK2_OUT,CLK_IN);

source_counter_1 c1(Q1,Q2,Q3,Q4,CLK_OUT,RESET,PAUSE,S1,S0);

display d1(Q1_OUT,Q1);

display2 d2(Q2_OUT,Q2);

display d3(Q3_OUT,Q3);

display d4(Q4_OUT,Q4);

coordination o1(QC_OUT,QA_OUT,Q1_OUT,Q2_OUT,Q3_OUT,Q4_OUT,CLK2_OUT); endmodule

2)分模块具体程序

1、分频模块frediv.v

module frediv(CLK_OUT,CLK2_OUT,CLK_IN);

output CLK_OUT,CLK2_OUT;

input CLK_IN; //50MHZ输入

reg [18:0] cn; //19位计数器

wire CLK_OUT;

wire CLK2_OUT;

initial

begin

cn=0;

end

always @(posedge CLK_IN)

begin

if(cn==499999)

begin cn<=0; end

else cn<=cn+19'd1;

end

assign CLK_OUT=cn[18]; //输出100HZ,作为四位数码管赋值模块时钟输入assign CLK2_OUT=cn[15]; //输出800HZ,作为数码管扫描频率

endmodule

2、对四位数码管赋值模块source_counter_1.v

module source_counter_1(Q1,Q2,Q3,Q4,CLK,RESET,PAUSE,S1,S0);

input CLK,RESET,PAUSE;

input [3:0] S1,S0;

output [3:0] Q1,Q2,Q3,Q4;

reg [3:0] Q1,Q2,Q3,Q4;

reg [3:0] N,M;

always @(posedge CLK )

begin

case (S1)

4'd0: N=4'd0;4'd1: N=4'd1;4'd2: N=4'd2;4'd3: N=4'd3;4'd4: N=4'd4;

4'd5: N=4'd5;4'd6: N=4'd6;4'd7: N=4'd7;4'd8: N=4'd8;4'd9: N=4'd9;

default :N=4'd9;

endcase

case(S0)

4'd0: M=4'd0;4'd1: M=4'd1;4'd2: M=4'd2;4'd3: M=4'd3;4'd4: M=4'd4;

4'd5: M=4'd5;4'd6: M=4'd6;4'd7: M=4'd7;4'd8: M=4'd8;4'd9: M=4'd9;

default :M=4'd9;

endcase //高位和次高位的起始值预置

if(RESET==1) begin Q1<=N;Q2<=M;Q3<=4'd0;Q4<=4'd0;end //RESET重置else if(PAUSE==1) begin Q1<=Q1;Q2<=Q2;Q3<=Q3;Q4<=Q4; end //PAUSE 暂停else if (Q4==0)

begin Q4<=4'd9;

if(Q3==0)

begin Q3<=4'd9;

if(Q2==0)

begin Q2<=4'd9;

if(Q1==0)

begin Q1<=N;Q2<=M;Q3<=4'd0;Q4<=4'd0;end

else Q1<=Q1-4'd1;

end

else Q2<=Q2-4'd1;

end

else Q3<=Q3-4'd1;

end

else Q4<=Q4-4'd1; //对四位数码管依次赋值,类似于递减1的计数器end

Endmodule

3、译码显示模块

3.1:display.v

module display(Q_OUT,Q);

input [3:0] Q;

output [7:0] Q_OUT;

reg [7:0] Q_OUT;

always@(Q)

begin

case (Q)

4'd0:Q_OUT<=8'b11000000; //共阳极数码管

4'd1:Q_OUT<=8'b11111001;

4'd2:Q_OUT<=8'b10100100;

4'd3:Q_OUT<=8'b10110000;

4'd4:Q_OUT<=8'b10011001;

4'd5:Q_OUT<=8'b10010010;

4'd6:Q_OUT<=8'b10000010;

4'd7:Q_OUT<=8'b11111000;

4'd8:Q_OUT<=8'b10000000;

4'd9:Q_OUT<=8'b10010000;

default :Q_OUT<=8'b11111111; //数码管的小数点DP段码不点亮endcase

end

Endmodule

3.2:display2.v

module display2(Q_OUT,Q);

input [3:0] Q;

output [7:0] Q_OUT;

reg [7:0] Q_OUT;

always@(Q)

begin

case (Q)

4'd0:Q_OUT<=8'b01000000;

4'd1:Q_OUT<=8'b01111001;

4'd2:Q_OUT<=8'b00100100;

4'd3:Q_OUT<=8'b00110000;

4'd4:Q_OUT<=8'b00011001;

4'd5:Q_OUT<=8'b00010010;

4'd6:Q_OUT<=8'b00000010;

4'd7:Q_OUT<=8'b01111000;

4'd8:Q_OUT<=8'b00000000;

4'd9:Q_OUT<=8'b00010000;

default :Q_OUT<=8'b01111111; //数码管的小数点DP段码点亮,endcase

end

其中,3.1和3.2的区别在于数码管的小数点DP段码的点亮与否,在top模块的调用时保证其整体上显示为Q1Q2.Q3Q4形式,即只有Q2通过display2来译码,其他三个通过Display来译码。

4、数码管动态扫描显示模块coordination.v

(此处coordination意思是把动态扫描显示时数码管阳、阴极协调起来)

module coordination(QC_OUT,QA_OUT,Q1,Q2,Q3,Q4,CLK);

input [7:0] Q1,Q2,Q3,Q4;

input CLK;

output [7:0] QC_OUT;

output [3:0] QA_OUT;

reg [1:0]cn;

reg [7:0] QC_OUT;

reg [3:0] QA_OUT;

initial begin cn<=2'd0;end

always@(posedge CLK)

begin

if(cn==2'd3) cn<=2'd0;

else cn<=cn+2'd1;

case(cn)

2'd0:begin QC_OUT<=Q1;QA_OUT<=4'b1110;end //数码管阳极端为三极管输入

低态有效

2'd1:begin QC_OUT<=Q2;QA_OUT<=4'b1101;end

2'd2:begin QC_OUT<=Q3;QA_OUT<=4'b1011;end

2'd3:begin QC_OUT<=Q4;QA_OUT<=4'b0111;end

default :begin QC_OUT<=7'b1111111;QA_OUT<=4'b1111;end

endcase

end //通过case语句将数码管阳、阴极协调起来,保证在某一时

//间点,4个数码管中只有一个能有效显示。

Endmodule

III、用户约束文件top.ucf

NET "CLK_IN" LOC = B8;

NET "PAUSE" LOC = G12;

NET "QA_OUT[0]" LOC = K14;

NET "QA_OUT[1]" LOC = M13;

NET "QA_OUT[2]" LOC = J12;

NET "QA_OUT[3]" LOC = F12;

NET "QC_OUT[0]" LOC = L14;

NET "QC_OUT[1]" LOC = H12;

NET "QC_OUT[2]" LOC = N14;

NET "QC_OUT[3]" LOC = N11;

NET "QC_OUT[4]" LOC = P12;

NET "QC_OUT[5]" LOC = L13;

NET "QC_OUT[6]" LOC = M12;

NET "RESET" LOC = A7;

NET "CLK_IN" IOSTANDARD = LVCMOS33;

NET "PAUSE" IOSTANDARD = LVCMOS33;

NET "QA_OUT[0]" IOSTANDARD = LVCMOS33;

NET "QA_OUT[1]" IOSTANDARD = LVCMOS33;

NET "QA_OUT[2]" IOSTANDARD = LVCMOS33;

NET "QA_OUT[3]" IOSTANDARD = LVCMOS33;

NET "QC_OUT[0]" IOSTANDARD = LVCMOS33; NET "QC_OUT[1]" IOSTANDARD = LVCMOS33; NET "QC_OUT[2]" IOSTANDARD = LVCMOS33; NET "QC_OUT[3]" IOSTANDARD = LVCMOS33; NET "QC_OUT[4]" IOSTANDARD = LVCMOS33; NET "QC_OUT[5]" IOSTANDARD = LVCMOS33; NET "QC_OUT[6]" IOSTANDARD = LVCMOS33; NET "RESET" IOSTANDARD = LVCMOS33; NET "S0[0]" LOC = P11;

NET "S0[1]" LOC = L3;

NET "S0[2]" LOC = K3;

NET "S0[3]" LOC = B4;

NET "S1[0]" LOC = G3;

NET "S1[1]" LOC = F3;

NET "S1[2]" LOC = E2;

NET "S1[3]" LOC = N3;

NET "S0[0]" IOSTANDARD = LVCMOS33;

NET "S0[1]" IOSTANDARD = LVCMOS33;

NET "S0[2]" IOSTANDARD = LVCMOS33;

NET "S0[3]" IOSTANDARD = LVCMOS33;

NET "S1[0]" IOSTANDARD = LVCMOS33;

NET "S1[1]" IOSTANDARD = LVCMOS33;

NET "S1[2]" IOSTANDARD = LVCMOS33;

NET "S1[3]" IOSTANDARD = LVCMOS33;

# PlanAhead Generated physical constraints

NET "QC_OUT[7]" LOC = N13;

# PlanAhead Generated IO constraints

NET "QC_OUT[7]" IOSTANDARD = LVCMOS33;

IV、程序仿真

测试文件test_top.v

module test_top;

reg CLK_IN;

reg RESET;

reg PAUSE;

reg [3:0] S1;

reg [3:0] S0;

wire [7:0] QC_OUT;

wire [3:0] QA_OUT;

top uut (

.CLK_IN(CLK_IN),

.RESET(RESET),

.PAUSE(PAUSE),

.S1(S1),

.S0(S0),

.QC_OUT(QC_OUT),

.QA_OUT(QA_OUT)

);

parameter PERIOD = 20;

initial begin

CLK_IN = 1'b0;

#10;

forever

#(PERIOD/2)CLK_IN = ~CLK_IN;

end

initial

begin

RESET = 0;

PAUSE = 0;

S1 = 4'd0;

S0 = 4'd0;

#100;

S1 = 4'd2;

S0 = 4'd4;

#100;

S1 = 4'd4;

S0 = 4'd2;

#100;

RESET=1;

#100;

RESET=0;

#100;

PAUSE=1;

#100;

PAUSE=0;

end

endmodule

仿真波形如图三。

图3仿真波形各个信号仿真波形正常。

实验二60秒倒计时电路设计的实验报告

实验二60秒倒计时电路设计的实验报告 一、实验目的 1.进一步熟悉Quartus II混合层次化设计方法。 2.学习7段数码管的驱动设计方法。 二、实验内容 60秒倒计时电路如图1所示。其中,模块cnt_d60完成60倒计数,输出结果为2位十进制BCD码。模块SCNA_LED完成BCD码到7段数码管显示译码功能。 图1 60秒倒计时电路 图2 60秒倒计时底层电路 60倒计数模块cnt_d60底层电路如图2所示。主要由2片74192(双向十进制计数器)

构成。 模块cnt_d60和SCNA_LED的源设计文档(cnt_d60.bdf和SCAN_LED.vhd)提供给大家。要求大家建立新工程,为模块cnt_d60和SCNA_LED新建封装(*.bsf),并根据图1完成顶层60秒倒计时电路设计。 完成以上程序设计,编译时器件选择Cyclone系列的EP1C12Q240C8。引脚锁定参考表1内容。注意:应把未分配管脚置为三态输入,切记!! 表1 实验连线 1.原理图设计输入 (1)首先将模块cnt_d60和SCNA_LED的源文件放在等一下需要建立的文件中,打开QuartusII软件。 (2)选择路径。选择File/New Project Wizard。添写后以后,单击“NEXT”进入下一步。(3)添加设计文件,在File name中选择路径然后添加模块cnt_d60和SCNA_LED的源文件,点击“Next”。 (4)选择FPGA器件。Family选择Cyclone,先在Packge选择Any QFP,Pin Count 选择240,Speed grade选择8;然后在Available device中选择EP1C12Q240C8,点击“Next”。 (5)选择外部综合器、仿真器和时序分析器。设置好后,单击“NEXT”进入下一步。(6)结束设置。“工程设置统计”窗口,列出了工程的相关设置情况。最后单击“Finish”,结束工程设置。 (7)建立原理图文件。点击cnt_d60文件,然后点击File/Crete/Update/Create Symbol Files For Current file以新建原理图封装文件方式,然后以同样的方式创建原理图SCNA_LED封装文件,文件格式都为*bdf。保存原理图文件。选择File/Save As…菜单,存为testone文件,选择Edit/Insert Symbol…(或直接双击原理图空白处)打开元器件库窗口,选择合理的器件(封装好的cnt_d60文件和SCNA_LED文件都在里面)按图1完成60秒倒计时电路原理图设计,完成后选择File/Save…保存原理图。(8)综合编译。编译之前,打开原理图文件,选择Project/Set as Top-Level Entity,以确保当前编译的文件为顶层的实体文件。然后选择Processing/Start Compilation,进行综合分析,直至编译通过为止。 (9)保护设计中没有使用到的引脚。对于FPGA芯片(包括EP1C12Q240C8),在做Quartus II工程时必须将未分配的管脚置为三态输入。选择Assignments\Device… 打开工程设置窗口。在Category中选择Device项,然后在Available Devices栏中,选中EP1C12Q240器件,再单击Device & Pin Options…按钮,在弹出窗口(中选择Unused Pins栏,然后设置Reserve all unused pins为AS input tri-stated。推荐把未分配管脚置为三态输入。如未将未分配管脚置为三态输入,将可能导致主芯片或外围芯片损坏,切记!!

数字电子秒表课程设计

西安航空职业技术学院 电子技术实践课程设计报告 课设题目:数字电子秒表 所属系部:电子工程系 指导老师: 作者: 专业:电子信息工程技术 西安航空职业技术学院制 西安航空职业技术学院 课程设计任务书 题目:数字电子秒表 任务与要求: 1、设计数字电子秒表原理图。 2、用6个数码管显示分、秒、毫秒。 3、计时误差不得超过1s;具有清零、启动计时、暂停计时及继续 计时等控制功能。 4、画出总体电路图。 5、安装自己设计的电路,按照自己设计的电路,在通用板上焊接。 焊接完毕后,应对照电路图仔细检查,看是否有错接、漏接、 虚焊的现象。 6、调试电路。 时间:2010年11月29 日至 2010年12 月10 日共2周

所属系部:电子工程系 指导单位或教研室:电子信息教研室 西安航空职业技术学院制 摘要: 采用现代数字电路设计方法和EDA技术,即自顶向下的设计方法,应用protues开发平台进行设计并仿真验证和硬件测试。从总体设计框图开始,将设计任务逐步分解,直到可以用标准的集成电路部件实现,然后将各部件联结成系统,通过protues集成开发平台进行设计的分析综合和时序仿真验证。最后,在分析时序仿真结果的基础上,对设计进行进一步的修改和完善,已达到对设计电路正确运行且学会运用protues电路设计与仿真的目的。 关键词: 555定时器;LED;暂停计时 Abstract: Adopt modern digital circuit design method and EDA technique, namely the top-down design methods, application protues development platform design and simulation validation and hardware test. From the beginning, overall design diagram design task decomposed step by step, until can use standard of integrated circuit components, and then will realize connecting components into system, through protues integrated development platform design of comprehensive analysis and time-series simulation prove. Finally, by analyzing the timing simulation results, on the basis of design for further revised and perfected, reached the correct operation of circuit design and learn to use protues circuit design and simulation of purpose. Key words: 555 timing, Leds, Suspended timing 目录 1 设计方案的选择 (1) 2 总体框架设计 (2) 3 分步电路设计 (3) 3.1控制电路的设计 (3) (3) (3) 3.2数码管显示电路 (4)

篮球竞赛24秒计时器设计-

学号: 课程设计 题目 学院 专业 班级 姓名 指导教师

年月日

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位: 题目: 篮球24秒记时器的设计与制作 初始条件: (1)具备显示24秒记时功能 (2)计时器为递减工作,间隔为1S (3)递减到0时发声光报警信号 (4)设置外部开关,控制计时器的清0,启动及暂停 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) (1)设计任务及要求 (2)方案比较及认证 (3)系统框图,原理说明 (4)硬件原理,完整电路图,采用器件的功能说明 (5)调试记录及结果分析 (6)对成果的评价及改进方法 (7)总结(收获及体会) (8)参考资料 (9)附录:器件表,芯片资料 时间安排: 6月16日~6月19日:明确课题,收集资料,方案确定 6月19日~6月21日:整体设计,硬件电路调试 6月21日~6月24日;报告撰写,交设计报告,答辩 指导教师签名:2014年 6月日

前言 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。 在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机、还可以用来做为各种药丸,药片,胶囊在指定时间提醒用药等等,由此可见计时器在现代社会是何其重要的。 篮球作为一项全民健身项目,已有一定的历史。在中国,篮球很盛行,篮球比赛也日趋职业化。篮球比赛中有一项违例时间要用倒计时器,目前多数采用的是24秒制。有需要就会有市场,因此设计一款24秒计时器是非常有必要也非常有前景的。 该计时器要有递减计时及报警功能。因此符合比赛中违例判罚的需要。 在NBA比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。本课程设计的“篮球竞赛24秒计时器”,可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它自动的报警从而判定此球员的犯规。 本设计主要能完成:显示24秒倒计时功能;系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;计时器为24秒递减计时其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。 整个电路的设计借助于proteus仿真软件和数字逻辑电路相关理论知识,并在proteus下设计和进行仿真,得到了预期的结果。

CSR8670开发板使用说明书

CSR8670开发板 使 用 说 明 书

一、开发板资源介绍 开发板是针对蓝牙免提,蓝牙音响应用设计的一款多媒体蓝牙开发套件。开发板采用英国CSR 公司CSR8670 蓝牙芯片,可以用来开发单声道蓝牙耳机,立体声蓝牙耳机,蓝牙车载免提,蓝牙音频适配器,蓝牙虚拟串口(SPP), 蓝牙人机交互接口(HID),蓝牙文件传输(FTP)等。开发板带有USB,UART,I2C,PCM,音频输入、输出等接口,并引出PIO 和AIO 接口,方便用户扩展,进行二次开发。I开发板支持程序在线调试以及参数修改。 1、硬件资源: ◆标配CSR8670 蓝牙芯片,内置kalimba DSP ,支持蓝牙协议V4.0+EDR ◆集成16Mb FLASH ◆7个按键(1个复位键,1个开机键,5个用户按键) ◆16个PIO 接口(其中PIO6、PIO7作为I2C) ◆2个AIO 接口 ◆3个LED 指示灯

◆ 1个USB 接口 ◆ 音频输出接口 ◆ 音频输入接口 ◆ 板载麦克风 ◆ RS232 接口 ◆ SPI 调试接口 ◆ IIC 接口(PIO 复用) ◆ 64Kbit E2PROM 【注意】:板载的部分资源会因为芯片所采用的芯片的不同而未被使用到,具体请参考原理图。

二、硬件连接和使用 1、请参照上图,将下载线通过10PIN的排线和开发板连接,将MINI-USB线连接下载线并接到电脑,此时板子左上方的红色LED灯会亮,说明开发板已经正常上电。 【注意】: 1. 本开发板将VREN 开机信号单独连接到一个按键作为开机用,所以在使用bluelab或pstool连接开发板时,请务必按下改开机键不放,否则将会导致软件无法读取芯片的现象,bluelab 会提示"Unable to query BlueCore over SPI" 错误。 2. 使用bluelab下载调试程序时,请务先设置【Debug】菜单下的【Tansport】是否设置为USB,否则bluelab 将会提示"Unable to query BlueCore over SPI" 错误

软件延时实现60秒计时器

一、实验任务 如下图所示,在A T89S51单片机的P0和P2端口分别接有两个静态共阴数码管,P0口驱动显示秒时间的十位,而P2口驱动显示秒时间的个位。 二、电路原理图 图11.1 三、硬件连线 参照教程十的方法完成硬件连线(只是去掉按键部分)。 四、程序设计内容 1在设计过程中我们用一个存储单元作为秒计数单元,当一秒钟到来时,就让秒计数单元加1,当秒计数达到60时,就自动返回到0,从新秒计数。 2对于秒计数单元中的数据要把它十位数和个数分开,方法仍采用对10整除和对10求余。 3在数码上显示,仍通过查表的方式完成。 4一秒时间的产生在这里我们采用软件精确延时的方法来完成,经过精确计算得到1秒时间为1.002秒。 DELY1S: MOV R5,#100 D2: MOV R6,#20 D1: MOV R7,#248 DJNZ R7,$

DJNZ R6,D1 DJNZ R5,D2 RET 五、程序框图 图11.2 六、汇编源程序 Second EQU 30H ORG 0 START: MOV Second,#00H NEXT: MOV A,Second MOV B,#10 DIV AB MOV DPTR,#TABLE MOVC A,@A+DPTR MOV P0,A MOV A,B MOVC A,@A+DPTR MOV P2,A LCALL DELY1S INC Second MOV A,Second CJNE A,#60,NEXT LJMP START

DELY1S: MOV R5,#100 D2: MOV R6,#20 D1: MOV R7,#248 DJNZ R7,$ DJNZ R6,D1 DJNZ R5,D2 RET TABLE: DB 3FH,06H,5BH,4FH,66H,6DH,7DH,07H,7FH,6FH END 七、C语言源程序 #include unsigned char code table[]={0x3f,0x06,0x5b,0x4f,0x66, 0x6d,0x7d,0x07,0x7f,0x6f}; unsigned char Second; void delay1s(void) { unsigned char i,j,k; for(k=100;k>0;k--) for(i=20;i>0;i--) for(j=248;j>0;j--); } void main(void) { Second=0; P0=table[Second/10]; P2=table[Second%10]; while(1) { delay1s(); Second++; if(Second==60) { Second=0; } P0=table[Second/10]; P2=table[Second%10]; } }

电子秒表课程设计

课程设计 题目 学院 专业 班级 姓名 指导教师 年月日

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位: 题目:电子秒表的设计与制作 初始条件: (1)计数精度可达1/100秒 (2)可显示时间99.99秒 (3)具有开关可启动,暂停,清零功能 选作:设计可改变计时时间(最大59.99秒)的电路 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) (1)设计任务及要求 (2)方案比较及认证 (3)系统框图,原理说明 (4)硬件原理,完整电路图,采用器件的功能说明 (5)调试记录及结果分析 (6)对成果的评价及改进方法 (7)总结(收获及体会) (8)参考资料 (9)附录:器件表,芯片资料 时间安排: 6月16日~6月19日:明确课题,收集资料,方案确定 6月19日~1月21日:整体设计,硬件电路调试 6月21日~6月24日;报告撰写,交设计报告,答辩 指导教师签名:2014年 6月日

目录 摘要 (4) 电子秒表的设计与制造 (5) 1 课题分析 (5) 2系统设计方案的选择 (5) 3 电子秒表系统主体流程框图 (6) 4 单元电路的设计 (7) 4.1脉冲产生电路 (7) 4.2 计数电路 (8) .3 译码显示电路 (9) 4.4 控制电路 (10) 5 仿真测试 (10) 6 电子秒表设计原理图 (11) 7 结束语 (11) 参考文献 (12) 附录 (13) 附录一:选作:设计可改变计时时间的电路 (13) 附录二:74LS290功能表 (15) 附录三:74LS48功能表 (15)

摘要 电子秒表是一种数字显示计时装置,由于它走时准,设计简单,显示直观,因此被广泛运用于科学研究,体育运动,国防等方面。比如对物体速度,加速度的测量,体育比赛的时间的测量等。 数字电子秒表由组合逻辑电路和时序逻辑电路组成,555定时器组成多谐振荡器产生脉冲,在脉冲控制下的组合计数器电路通过一系列的触发产生数字信号,数字信号经译码器译码后输入到显示数码管显示时间。 电子秒表的广泛应用提高了人们的工作效率,随着电子技术的发展,电子秒表的精度,电路简易型等到了很大的提高,功能得到了完善。 关键词:秒表定时器效率

篮球24秒计时器

电子课程设计 ——篮球24秒计时器 学院:电子信息工程 专业:电子101501 姓名: 学号:201015020131 指导教师: 2012年12月

篮球24秒计时器 一设计任务与要求 (1)有显示24秒的计时功能; (2)置外部操作开关,控制计时器的直接清零,起碇和暂停\连续功能; (3)计时器为24秒递减计时器,其间隔时间为1秒; (4)计时器递减计时到零时,数码显示器不能灭灯,就发出光电报警信号。 二、总体框图 方案一:利用VHDL语言构成的24s篮球可控计时器 方案二:由各个单元模块构成的24s篮球可控计时器

从框图可知:方案一是完全利用VHDL语言编写各个模块,然后将所有模块连接进行仿真及测试;而方案二是利用已有的芯片构成相应模块,后组合连接仿真。方案二在设计中有一定的简便性且不用编写繁琐的程序,因此,以下设计采用方案二。 篮球24秒计时器,它包括秒脉冲发生器、计数器译码显示、控制电路和报警电路五部分组成。其中计数器和控制电路是电路的主要部分。计数器完成24秒计时功能。而控制电路完成电路的直接清零、启动计数、暂时/连续计数;译码显示电路的显示与亮灯和到时间启动报警功能。秒脉冲发生器产生的信号是电路的时钟脉冲和定时标准。 注:(1)由于实验箱具备提供不同频率的脉冲,在具体设计时将省略秒脉冲发生器部分。 (2)为了能下载到实验箱验证结果,方案二的译码电路部分采用方案一的显示电路。 三、选择器件 (1)同步十进制双时钟加减计数器74LS192(以下简称74192) (2)四2输入与非门74LS00(以下简称7400) (3)EP1C12核心板白色按钮(PB0,PB1) (4)EP1C12核心板贴片二极管(LED3) (5)EP1C12核心板数码管(仅两位) 四、功能模块 (1)25进制减法计数器 此部分采用两个74192构成25进制减法计数器,具备置数和清零的功能。

奋斗版STM32开发板Mini板硬件说明书

奋斗版STM32开发板Mini板的硬件说明 1. 供电电路: AMS1117-3.3输入+5V,提供3.3V的固定电压输出,为了降低电磁干扰,C1-C5为CPU 提供BANK电源(VCC:P50、P75、P100、P28、P11 GND:P49、P74、P99、P27、P10)滤波。CPU的模拟输入电源供电脚VDDA(P22)通过L1 22uH的电感与+3.3V VDD电压连接,CPU的模拟地VSSA(P19)及VREF-(P20)通过R1 0欧电阻与GND连接。VREF+(P21)采用VDDA(P22)电源基准。 为RTC的备份电源采用V1 3.3V锂离子片状电池。 2. 启动方式设置: Boot1—Boot0(P37,P94): x0: 内部程序存储区启动01:系统存储区启动(为异步通信ISP编程方式) 在此将BOOT1始终设置为0, BOOT0为可变的状态,在正常模式下将其置为0,在ISP 编程时将其置为1。用JP1跳线块设置,开路为ISP模式,短路为正常运行模式。 3. 时钟源电路: 外部晶体/陶瓷谐振器(HSE)(P12、P13):B1:8MHz晶体谐振器,C8,C9谐振电容选择10P。系统的时钟经过PLL模块将时钟提高到72MHz。 低速外部时钟源(LSE)(P8、P9):B2: 32.768KHz晶体谐振器。C10,C11谐振电容选择

10P。注意:根据ST公司的推荐, B2要采用电容负载为6P的晶振,否则有可能会出现停振的现象。 4. SPI存储电路: D2 AT45DB161(2M Bytes)CPU采用SPI1端口PA7-SPI1-MOSI(P32)、PA6-SPI1-MISO (P31)、PA5-SPI1-SCK(P30)、PA4-SPI1-NSS(P29)控制读写访问, SPI1地址:0x4000 3800 - 0x4000 3BFF 5. 显示及触摸接口模块: 显示器采用2.4” TFT320X240LCD(控制器ILI9325), 采用CPU的FSMC功能,LCD片选CS采用FSMC_NE1(P88),FSMC_A16(P58)作为LCD的RS选择,FSMC_nWE(P86)作为LCD的/WR, FSMC_nOE(P85)作为LCD的/RD, LCD的RESET脚用CPU的PE1(P98)(LCD-RST),FSMC_D0---FSMC_D15和LCD的D1-D8 D10-D17相互连接,触摸屏接口采用SPI1接口,片选为PB7-SPI1-CS3,由于LCD背光采用恒流源芯片PT4101控制,采用了PWM控制信号控制背光的明暗, PWM信号由PD13-LIGHT-PWM来控制。触摸电路的中断申请线由PB6-7846-INT接收。 LCD寄存器地址为:0x6000 0000, LCD数据区地址:0x6002 0000。

60秒加计时电路

课程设计报告____2010/2011 学年第一学期 课程名称:电子工艺实习 题目:60秒加计时电路 院系:计算机与信息学院电子工程系专业班级:电子信息工程081801 学号: 姓名: 指导教师: 完成日期:2010-12-15

目录 目录 (2) 前言 (3) 内容 (3) 一、实验目的 (3) 二、实验要求 (3) 三、实验器件 (3) 四、实验原理 (4) 五、调试及测试结果分析 (5) 六、实验小结或体会 (6) 附图1: (7)

前言 随着信息时代信息的到来,电子技术在社会生活中发挥着越来越重要的作用,运用模电和数电知识设计的电子产品成为社会生活中不可缺少的一部分,特别是各种竞技运动中,计数器器成为运动员成绩的一个重要工具。 一、实验目的 1.根据原理图分析各单元电路的功能; 2.熟悉电路中所用到的各集成块的管脚及其功能; 3.进行电路的装接,调试,直到电路达到规定的设计要求; 4写出完整,详细的设计报告。 二、实验要求 1、具有显示60秒可加计时功能。 2、设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能。 3、计时器为60秒递增计时器。 三、实验器件

四、实验原理 1、方案总体设计 60秒可加计时器的方案框图如下图所示。它包括秒脉冲发生器、计数器、译码显示电路、控制电路等模块组成。其中计数器和控制电路是系统的主要模块。计数器完成60秒计时功能,而控制电路完成计数器饿直接清零,启动计数、暂停/连续计数,译码显示电路功能。 秒脉冲发生器产生的的信号是电路的时钟脉冲和定时标准,但本设计对信号要求不太高,故电路采用555集成电路构成。 译码显示电路由74LS48和共阴极七段LED显示器组成。 2、计时电路的组成 设计中通过两片74LS192的级联来实现一个60进制的计数器。当低位片从0跳到9时,高位片进位加一,直到实现60秒的计数功能。计数电路的核心是置数部分。因为本设计要求从0到60,所以本设计中预置数置为0000和0000即可,又由于到60要清零,所以在十位输出端加个与非门使其到60则自动预置0从而达到实验要求。 在设计中我们选择的是同步加/减计数器74LS192。它是双时钟同步可逆计数器,是8421BCD码计数,其详细引脚图及功能表如下:

数字逻辑电路(数电)课程设计_电子秒表_VHDL实现(含完整源代码!!)

电子科技大学 UNIVERSITY OF ELECTRONIC SCIENCE AND TECHNOLOGY OF CHINA 数字逻辑设计 实验报告 实验题目:电子秒表 学生姓名: 指导老师:

一、实验内容 利用FPGA设计一个电子秒表,计时范围00.00 ~ 99.00秒,最多连续记录3个成绩,由两键控制。 二、实验要求 1、实现计时功能: 域值范围为00.00 ~ 99.00秒,分辨率0.01秒,在数码管上显示。 2、两键控制与三次记录: 1键实现“开始”、“记录”等功能,2键实现“显示”、“重置”等功能。 系统上电复位后,按下1键“开始”后,开始计时,记录的时间一直显示在数码管上;按下1键“记录第一次”,次按1键“记录第二次”,再按1键“记录第三次”,分别记录三次时间。 其后按下2键“显示第一次”,次按2键“显示第二次”,再按2键“显示第三次”,数码管上分别显示此前三次记录的时间;显示完成后,按2键“重置”,所有数据清零,此时再按1键“开始”重复上述计时功能。 三、设计思路 1、整体设计思路 先对按键进行去抖操作,以正确的得到按键信息。 同时将按键信息对应到状态机中,状态机中的状态有:理想状态、开始状态、3次记录、3次显示、以及其之间的7次等待状态。 因为需要用数码管显示,故显示的过程中需要对数码管进行片选和段选,因此要用到4输入的多路选择器。 在去抖、计时、显示的过程中,都需要用到分频,从而得到理想频率的时钟信号。 2、分频设计 该实验中有3个地方需要用到分频操作,即去抖分频(需得到200HZ时钟)、计时分频(需得到100HZ时钟)和显示分频(需得到25kHZ时钟)。 分频的具体实现很简单,需首先算出系统时钟(50MHZ)和所需始终的频率比T,并定义一个计数变量count,当系统时钟的上升沿每来到一次,count就加1,当count=T时就将其置回1。这样只要令count=1~T/2时clk=‘0’,count=T/2+1~T时clk=‘1’即可。 3、去抖设计 由于用按键为机械弹性开关,故当机械触点断开、闭合时,按键开关在闭合时不会马上稳定地接通,在断开时也不会马上断开,而是在闭合及断开的瞬

数电课设篮球30秒倒计时器

目录 一论证 (2) 1.1 设计原理 1.2 设计方案 1.3 各分电路功能分析 1.3.1 计数器电路 1.3.2 脉冲发生电路 1.3.3 译码显示电路 1.3.4 控制电路 1.4 仿真原理图 电子技术课程设计任务书 题目:具有数字显示的篮球竞赛30S计时器 1.任务要求 设计一个篮球竞赛30S进攻时间限制计时器。 2.性能指标要求: (1)工作时间可在1—30S内任意设定。 (2)30S计时器是递减计时器,间隔为1S。能用LED数码管显示剩余工作时间,还能显示到1/10秒。即当计时开始时显示出所设定的总时间,每当计时10个1/10S,显示器的数字立即减1。 (3)减1计时到7S时,发出三声低音预告信号。

(4) 减1计时到零时(工作时间到),发出三声高声,并自动停止计时。 (5) 具有暂停/继续工作、清零复位和启动计时功能。 3.设计与测试 按任务要求,设计电路,计算参数,选择元器件。根据所设计电路原理图,选择所需元器件连接电路,并按照调试步骤进行调试。 4. 成果要求 (1)课程设计说明书一本。 要求:内容完整,图表完备,字迹工整,条理清晰,分析有据。 (2)所用元器件清单 (3)电路实体和仿真。 要求:电路必须有仿真结果(可利用Multisim进行电路仿真分析),该电路实体必须是自己安装调试通过并达到性能指标要求的电路实体。 参考器件:两片74LS192、74LS00,叁片74LS48,一片555、74LS04、CC40161,共阴LED显示器3块,电阻、蜂鸣器、发光二极管等 5.答辩 在规定时间内,完成叙述并回答问题。 前言 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。 在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机、还可以用来做时间提醒设备等等,由此可见计时器在现代社会是何其重要的。 篮球作为一项全民健身项目,已有一定的历史。在中国,篮球很盛行,篮球比赛也日趋职业化。篮球比赛中有一项违例时间要用倒计时器,目前多数采用的是24秒制,但随着篮球制度的改革将会采用30秒制。有需要就会有市场,因此

单片机开发板使用手册

目录 第一章:开发板简介 (3) 1-1.SY_07011开发板的特性简介 (3) 1-2.SY_07011开发板的构成和工作原理 (4) 第二章:开发板使用说明 (5) 2-1.系统操作软件安装 (5) 2-2.开发板键盘设置 (9) 2-3.开发板连接安装 (9) 2-4.运行调试软件 (10) 第三章:开发板用器件资料及说明 (15) 3—1.TIMSP430F1121 (15) 3-2.DTLED-6 (16) 第四章:开发板器件表附件清单 (19) 4—1.调试用源程序 (19) 4-2.原理图....................................................附录插页4-2.包装清单. (30) 第五章:其它51类实验板简介 (32) 5-1.51DEMO I/O板简介 (32) 5-2.A/D89C51数模转换实验板简介 (23) 5-3.流水灯控制器(12路) (34) 5-4.SY0606开发板 (35) 5-5.Atmel_ISP下载线(选配自购件) (37)

5-6.Altera_ISP下载线(选配自购件) (37) 5-7.SY03091开发板 (38) 5-8.MSP430Flash Emulation Tool工具 (39) *********公司其它产品简介见软件盘中电子版文件*********

第一章:MSP430开发板简介 1-1.SY_07011开发板的特性简介 标准的TI的JTAG和BOOTST接口,适用与TI的MSP430 Flash Enulation Tool工具配合使用。 1. 电源适应性强,可随意使用无极性8~15V电源或DC+5V电源 供电。 2. 可用MSP430 Flash Enulation Tool工具一连串的完成编程,调 试,程序的在线烧录(自下载),和设计功能的演示等。 3. 自带3*4标准键盘输入,便于学习者掌握键盘输入和程序编 写。 4. 用串行驱动方式,驱动6位数码管显示,大大节省了单片机 的接口资源(祥见后面“DTLED-6”芯片介绍)。提供数码管字符显示驱动模块的接口,只用三根线就可以驱动6个数码

00-60秒表说明书

编号: 2 微机综合实践说明书 题目: 学院: 专业: 学生姓名: 学号: 指导教师单位: 指导老师:

目录 一、摘要 二、前言 2.1、设计任务及功能简介 (1) 2.2、设计项目应用及意义 (1) 三、总体方案设计 3.1、方案设计 (1) 3.2、元器件清单 (2) 四、电路原理图设计 4.1、总体电路图 (2) 4.2、复位电路设计 (3) 4.3、晶振输入电路设计 (3) 4.4、液晶显示电路 (4) 4.5、开关电路 (4) 五、系统硬件设计及说明 5.1、硬件总体设计方案 (4) 5.2、并行I/O口P0~P3结构与设计 (5) 5.3、相关硬件说明 (6) 5.4、定时/计数器工作原理 (10) 六、系统软件设计及说明 6.1、总体设计方案 (13) 6.2、程序流程图 (13) 6.3、系统程序 (15) 七、我的工作---Proteus软件仿真 7.1、软件仿真总体步骤 (15) 7.2、在PROTEUS中设计出相应的硬件电路 (16) 7.3、用keil软件生成HEX文件 (16) 7.4、烧录程序仿真 (17) 八、课程设计总结 (18) 九、附录---秒表汇编程序 (19)

一、摘要 随着电子技术的飞速发展,电子技术在相关领域的运用也是越来越广泛,人们对它的认识也相应的增加。常用于各种体育赛事以及各种要求精确时间的领域就要用到秒表计时器,秒表计时器开关的使用方法与传统的计时器相同,也就是按一下开关就开始计时,再按一下就停止,操作很是简单。而复位开关可以在任何情况下使用,即使是正在计时,只要你按下复位键,计时就立即终止而且对秒表的时间清零。这个课程设计就是利用所学到的电子元器件将脉冲源用液晶显示屏显示出来,以达到制作简易秒表的目的。除此之外,此次设计还扩展了很多内容,比如倒计时设定,可以设定时间进行倒计时。此设计可以应用到倒计时控制系统,进行定时控制等。 [关键词] 启/停开关复位按键液晶显示倒计时

数字式电子秒表设计

1设计目的 电子技术课程设计是电子信息工程系三个专业的公共课程设计,是模拟电子 技术、数字电子技术课程结束后进行的教学环节。其目的是: 1、培养理论联系实际的正确设计思想,训练综合运用已经学过的理论和生产实际知识去分析和解决工程实际问题的能力。 2、学习较复杂的电子系统设计的一般方法,提高基于模拟、数字电路等知 识解决电子信息方面常见实际问题的能力,由学生自行设计、自行制作和自行调 试。 3、进行基本技能训练,如基本仪器仪表的使用,常用元器件的识别、测量、熟练运用的能力,掌握设计资料、手册、标准和规范以及使用仿真软件、实验设备进行调试和数据处理等。 4、培养学生的创新能力。 2设计要求 1.秒表由2位七段LED显示器显示,其中1位显示“ s” ,二位显示“ 0.1s ”,显示分 辩率为0.1 s; 2.计时最大值为9.9s; 3.计时误差不得超过1s; 具有清零、启动计时、暂停计时及继续计时等控制功能; 4.主要单元电路和元器件参数计算、选择; 5.画出总体电路图; 6.安装自己设计的电路,按照自己设计的电路,在通用板上焊接。焊接完毕后,应对照电 路图仔细检查,看是否有错接、漏接、虚焊的现象。 7.调试电路 8.电路性能指标测试 9.提交格式上符合要求,内容完整的设计报告

3总体设计 3.1工作流程图 图1工作流程图 图1中1单元为用集成与非门74LS00构成的基本RS触发器。属低电平直接触发的触发器,有直接置位,复位的功能。 图1中2单元为集成与非门74LS00构成的单稳态触发器,它的职能是为计数器提供清零信号。 图1中555定时器构成了多谐振荡器,是一种性能较好的时钟源。 图1中4单元74LS160构成的计数器/分频器 图1中5单元译码显示单元 3.2原理图: 图1为电子秒表的原理图,按功能可分为5个单元电路进行分析。 其中单元1为基本RS触发器; 单元2为单稳态触发器; 单元3为时钟发生器; 单元4为计数器和分频器; 单元5为译码显示单元。

数电课程设计篮球24秒倒计时

目录 前言 ............................................ 错误!未定义书签。第一章计时器 ................................................ - 2 - 1.1篮球竞赛24秒计时器功能................................ - 2 - 1.2 设计任务及要求 (2) 1.2.1基本要求 (2) 1.2.2 设计任务及目标..................................... - 2 - 1.2.3 主要参考器件:..................................... - 3 - 第二章电路设计原理与单元模块 .. (3) 2.1 设计原理 (4) 2.2 设计方案 (4) 2.3 单元模块 (4) 2.3.1 8421BCD码递减计数器模块 (5) 2.3.2 时钟模块 (7) 2.3.3 辅助时序控制模块 (8) 第三章实验体会 (10)

第一章计时器概述 1.1篮球竞赛24秒计时器功能 随着信息时代的到来,电子技术在社会生活中发挥着越来越重要的作用,运用模电和数电知识设计的电子产品成为社会生活不可缺少的一部分,特别是在各种竞技运动中,定时器成为检验运动员成绩的一个重要工具。在篮球比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。本课程设计的“篮球竞赛24秒计时器”,可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它自动的报警从而判定此球员的犯规。 本设计主要能完成:显示24秒倒计时功能;系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;在直接清零时,数码管显示器灭灯;计时器为24秒递减计时其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。 1.2 设计任务及要求 1.2.1基本要求 1. 具有24秒计时功能。 2. 设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能。 3. 在直接清零时,要求数码显示器灭灯。 4. 计时器为24秒递减时, 计时间隔为1秒。 5. 计时器递减到零时,数码显示器不能灭灯,同时发出光电报警信号。1.2.2 设计任务及目标 (1)根据原理图分析各单元电路的功能; (2)熟悉电路中所用到的各集成块的管脚及其功能;

比赛倒计时设计

河南科技学院机电学院电子课程设计报告 题目:比赛倒计时器设计任务书 专业班级:应用电子技术教育111班 姓名:季传帅 时间:2013.12.9~2013.12.27 指导教师:张伟邵锋完成日期:2013年12月20日

比赛倒计时器设计任务书 1.设计目的与要求 设计一个倒计时牌。准确地理解有关要求,独立完成系统设计,要求所设计的电路具有以下功能: (1)设计的倒计时牌,能直接显示时间; (2)能同时实现60秒计时,9秒暂停倒计时; (3)60秒计时结束有声音提示,9秒计时结束有灯光提示。 2.设计内容 (1)画出电路原理图; (2)元器件及参数选择; (3)电路仿真; (4)搭接所设计的电路完成设计功能。 3.编写设计报告 写出设计的全过程,附上有关资料和图纸,有总结体会。 4.答辩 在规定时间内,完成叙述并回答问题。

目录 1引言 (1) 2总体设计方案 (1) 2.1设计思路 (2) 2.2总体设计框图 (2) 3设计原理分析 (2) 3.1单元模块 (3) 3.1.1时钟模块 (3) 3.1.2报警电路模块 (3) 3.1.3倒计数器模块 (4) 3.1.4数码换显示模块 (5) 4总结与体会 (5) 参考文献 (6) 附录1实际电路图 (7) 附录2总体电路图 (8)

比赛倒计时器设计 摘要:本课程设计是脉冲数字电路的简单应用,设计了篮球竞赛60秒计时器。此计时器功能齐全,可以直接置数、启动、暂停和连续以及具有光电报警功能,同时应用了七段数码管来显示时间。此计时器有了启动、暂停和连续功能,可以方便地实现断点计时功能,当计时器递减到零时,会发出光电报警信号。本设计完成的中途计时功能,实现了在许多的特定场合进行时间追踪的功能,在社会生活中也具有广泛的应用价值。 此计时器的设计采用模块化结构,主要由以下3个组成,即计时模块、控制模块、分频模块以及译码显示模块。在设计此计时器时,采用模块化的设计思想,使设计起来更加简单、方便、快捷。此电路是以时钟产生,分频触发,倒计时计数,译码显示为主要功能,在此结构的基础上,构造主体电路和辅助电路两个部分。 关键词:比赛倒计时;控制;计时器;译码显示;555定时器 1引言 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。 在许多领域中倒计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机、还可以用来做为各种药丸,药片,胶囊在指定时间提醒用药等等,由此可见计时器在现代社会是何其重要的。 本设计主要能完成:显示60秒倒计时功能;系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;在直接清零时,数码管显示器灭灯;计时器为60秒递减计时其计时间隔为1秒;计时器递减计时到零时,同时发出光电报警信号等,当有触发信号时,实现9秒暂停倒计时。 整个电路的设计借助于Multisim10仿真软件和数字逻辑电路相关理论知识,并在Multisim10下设计和进行仿真,得到了预期的结果。 2总体设计方案 用555时基电路构成的多谐振荡器来产生频率为1Hz的脉冲,即输出周期为1秒的方波,再将该脉冲信号加到由74LS93构即周期为1秒,接着将该信号送到计数器74LS00的CP减计数脉冲端,再通过译码器4511BD把输入的8421BCD码经过内部作和电路“翻译”成七段(a,b,c,d,e,f,g)输出,显示十进制数,然后在适当的位置设置开关或控制电路即可实现计数器的直接清零,启动用第一种方案和暂停/连续、译码显示电路的显示与灭灯及声音报警等功能,声音报警用蜂鸣器来实现,蜂鸣器发声代表报警,电路图见图2。也可以用555构成的多谐振荡器直接产生频率为1Hz的秒脉冲,由于两

数字电子秒表设计总结报告1

数字电子秒表设计总结报告 一. 工作原理 本数字电子秒表设计由启动、清零复位电路、多谐振荡电路、分频计数电路、译码显示电路等组成。如下图所示: 启动清零复位电路主要由U6A 、U6B 、U7B 、U7D 组成,其本质是一个RS 触发器和单稳态触发器。J1控制数字秒表的启动和停止,J2控制数字秒表的清零复位。开始时把J1合上,J2打开,运行本电路,数字秒表正在计数。 当打开J1,合上J2键,J2与地相接得到低电平加到U6B 的输入端,U6B 输出高电平又加到U6A 的输入端,而U6A 的另一端通过电阻R15与电源相接得到高电平,(此时U6B 与U6A 组成RS 触发器),U6A 输出低电加到U7A 的输入端,U7A 被封锁输出高电平加到U5的时钟端,因U5不具备时钟脉冲条件,U5不能输出脉冲信号,因此U3、U4时钟端无脉冲而停止计数。当J1合上时,打开J2键,J1与地相接得到低电平加到U6A 的输入端,U6A 输出高电平加到 U6B

的输入端,U6B输出低电平加至U7B,使U7B输出高电平,因电容两端电压不能跃变,因此在R7上得到高电平加到U7D输入端,U7D输出低电平(进入暂态)同时加到U3、U4、U5的清零端,使得U3、U4的Q D---Q A输出0000,经U1、U2译码输出驱动U9、U10显示“00”。因为U7B与U7D组成一个单稳态电路,经过较短的时间,U7D的输出由低电平变为高电平,允许U3、U4、U5计数。同时U6A输出高电平加到U7A的输入端,将U7A打开,让555的3脚输出100KHZ的振荡信号经U7A加到U5的时钟脉冲端,使得U5具备时钟脉冲条件,U5的9、10、7脚接高电平,U5构成十分频器,对时钟脉冲计数。当U5接收一个脉冲时,U5内部计数加1,如果U5接收到第十个脉冲时,U5的15脚(RCO端)输出由低电平跳变为高电平作为U4的时钟脉冲,从而实现了对振荡信号的十分频,产生周期为0.1S的脉冲加至U4的时钟端。U4的9、10、7脚接高电平,当U4接收到来自U5的脉冲时,U4的Q D---Q A输出0001加到U2的DCBA端,经U2译码输出1001111经电阻R8~R14驱动数码管U10显示,此时数码管显示“1”,当U4计数到1001时,U4的15脚输出高电平接到U7C,经反相后得到低电平,加到U3的时钟脉冲端,U3A不具备时钟脉冲条件,当U4再接收一个脉冲时,U4的输出由1001翻转为0000,此时U4的15脚输出低电平通过U7C反相输出高电平,从而得到一上升沿脉冲加至U3的时钟端,使得U3的Q D---Q A输出0001加到U1的DCBA输入端,经U1译码输出100111,经电阻R1~R7驱动数码管U9,数码管显示“1”。如此循环的计数,最后数码管U9、U10显示最大值99即9.9秒。 由集成块555、电阻R19、R18、电容C1、C2组成多谐振荡器,当接通电源,电源通过电阻R19与R18对电容C2进充电,当UC2上升到2/3VCC时,集成块555的3脚输出低电平,内部三极管导通,C2通电阻R19进行放电,当UC2下降到1/3VCC时,内部三极管截止,集成块555的3脚输出高电平,接着电源又通过电阻R19与R18对电容C2进充电,当UC2上升到2/3VCC时,集成块555的3脚输出低电平,如此循环的充、放电,555的3脚输出100HZ的矩形方波信号加到U7A的输入端。

相关主题
相关文档 最新文档