当前位置:文档之家› FPGA课程设计报告书模板

FPGA课程设计报告书模板

FPGA课程设计报告书模板
FPGA课程设计报告书模板

FPGA课程设计(报告)

题目:

学院:电子工程学院

系部:微电子学系

专业班级:微电子1702

学生姓名:

指导教师:李哲

起止时间:2020年06月01日—2020年06月12日

目录

1.课程设计题目及要求 (4)

1.1设计题目:基于FPGA的波形产生器。 (4)

1.2设计内容 (4)

1.3基本功能 (4)

1.4课程设计目的 (5)

1.5已完成功能 (5)

2.系统设计 (5)

3. FPGA设计 (6)

3.1设计思路 (6)

3.2顶层模块设计 (7)

3.3方波产生模块 (8)

3.4 锯齿波产生模块 (8)

3.5 三角波产生模块 (8)

3.6 正弦波ROM模块 (8)

3.7 DAC模块 (9)

9

(9)

4.2波形选择器 (9)

4.3 D/A转换器 (9)

4.4低通滤波器 (9)

5.模块划分 (10)

5.1 模块概述 (10)

5.2时钟控制模块 (10)

5.3 相位控制模块 (11)

5.4偏移控制模块 (12)

5.5 波幅控制模块 (13)

5.6 波形ROM定制模块 (13)

5.7波形数据产生模块 (14)

6.仿真 (14)

7 心得体会 (14)

8 参考文献 (15)

9附录 (15)

1.课程设计题目及要求

1.1设计题目:基于FPGA的波形产生器。

1.2设计内容

通过的以Xilinx Spartan 3E实验板为控制核心,用直接数字频率合成技术(DDS),经板上DA转换输出模拟信号,实现频率和输出电压峰-峰值都可调。

1.3基本功能

1)具有产生正弦波、方波、三角波三种周期性波形的功能。

2)输出波形的频率范围为100Hz-20kHz(非正弦波频率按10次谐波计算)。重复频率可调,频率步进间隔≤100Hz。

3) 输出波形幅度范围0-3V(峰峰值),可按步进0.1V(峰峰值)调整。

4)由外界按键输入选择产生波形的种类、频率与幅度。

5)界面显示输出波形的类型、重复频率(周期)和幅度等信号参数。

在完成基本功能的基础上,本系统还可以完成以下扩展功能:

1)扩展输出波形频率范围1Hz-100KHz,频率步进间隔最小为

1Hz。

2)扩展能生成其他波形,如锯齿波。

3)方波占空比可调。

4)增加输出信号的路数,两路信号可以独立控制。

5)通过VGA输出接口实现波形发生器用户界面。

1.4课程设计目的

通过本课程设计加深对“基于Vrilog HDL的FPGA设计基础”理论学习的理解,熟悉相关EDA工具的使用,熟练掌握和使用基于FPGA 的数字系统开发工具、开发流程,能够自主进行成熟的基于FPGA的数字系统设计,能过够发现和独立解决开发中遇到的问题。

1.5已完成功能

1)具有产生正弦波、方波、三角波三种周期性波形的功能。

2)输出波形的频率范围为100Hz-20kHz(非正弦波频率按10次谐

波计算)。重复频率可调,频率步进间隔≤100Hz。

3)输出波形幅度范围0-3V(峰峰值),可按步进0.1V(峰峰值)

调整。

2.系统设计

该设计以FPGA开发平台为核心,将各波形的幅值/相位量化数据存储在ROM内,按照设定频率以相应频率控制字k为步进,对相位进行累加,以累加相位值作为地址码读取存放在存储器内的波形数据,经D/A转换和幅度控制、频率控制和滤波即可得到所需波形。波形发生器采取全数字化结构,用硬件描述语言Verilog设计实现其频率可调可显示。经开发平台的D/A转化和外加滤波整形处理波形

数据,理论上能够实现任意频率的各种波形。系统总体设计方框图如图2所示。

图2系统整体结构

3. FPGA设计

本设计采用Xilinx公司的Sparten3E XC3S500E型号、封装类型为FG320、速度等级为-4的FPGA开发板实现。

3.1设计思路

1)分频器控制读取rom的步长,通过输入变量改变分频器计数器的计数总量,控制分频实验频率可调。

2)制作rom,通过一个函数实现,给函数输入一个地址,通过case语句输出一个值。

3)波形选择,同个if语句选择地址计数器输出的值,从而输出四种不同的波形。

4)锁相环(附加),调用FPGA芯片集成的锁相环模块,让输出的相位更加的稳定。

5)调幅(附加),通过在rom的值除以不同的值来控制改变输出信号的幅度

3.2顶层模块设计

顶层模块主要用于分频,定义系统的输入和输出端口。由于实验箱采用系统时钟位50MHz,本实验采用分频比可调产生的时钟作为整个设计的全局时钟,所以本模主要负责产生全局时钟,并产生全局控制信号。主要用于控制输出信号的类型、频率、相位移、纵向偏移和波幅。其中正弦信号采用IP定制ROM模块。其余波形产生模块主要采用硬件设计并用Verilog HDL语言描述产生。

CLOCK

RESET_N

EN

CTRL_DDS

DDS_OUT

FREQUENS_

DDS

SKEW_DDS

PHASE_DDS

AMPLITUDE

_DDS

图3.1 顶层设计

顶层模块端口描述如表格3.1所示。

表格3.1:顶层模块端口描述

FREQUENS_DDS Input PIN_4 频率控制字

SKEW_DDS Input PIN_5 纵向偏移控制字

PHASE_DDS Input PIN_6 相位控制字AMPLITUDE_DDS Input PIN_7 幅度控制字DDS_OUT Output PIN_8 信号输出端

I/O端口时序描述,为了正确完成数据输入和输出,接口信号之间信号的时序关系如图3.2所示。

图3.2 顶层设计时序关系图

3.3方波产生模块

该模块主要采用分频比可调的时钟输出。具有较高的信号质量。

3.4 锯齿波产生模块

锯齿波信号的产生主要采用8位累加器实现,逐次累加,产生周期性的锯齿波信号。

3.5 三角波产生模块

该信号的产生采用象限控制,利用最高位作为象限控制位控制三角波的斜升和斜降。

3.6 正弦波ROM模块

首先利用MATLAB生成一个*.MIF文件,*.MIF文件存储的是一个深度为256,宽度为8的正弦波形数字信号。然后在Quartus II下利用MATLAB生成的*.MIF数据文件生成一个单口ROM,并且生成对应的.V文件,即ROM模块。本模块的功能是通过传送过来的地址,查找地址所对应的数据,并将数据读出。

3.7 DAC模块

利用高速高精度数模转换芯片A4101,设计数模转换电路,以及简单的滤波输出电路。输出信号供示波器采集。

4.功能验证方案

使用DDS逻辑分析仪(Signal Tap II)测试结果

4.1相位累加器

给address一个初值,通过键盘给k,测试新的address的值是否等于address+k。

4.2波形选择器

使用DDS逻辑分析仪(Signal Tap II)测试结果给s1,s2分别赋值00,01,10,看输出数值是否依次满足正弦、方波、三角波的波形规律。

4.3 D/A转换器

给它外界输入一串数值,看它能否转换为波形。

4.4低通滤波器

给它输入一个不光滑的波形,看它是否变成光滑波形。

5. 模块划分

5.1 模块概述

设计分为六个模块:时钟控制模块、相位控制模块、偏移控制模块、波幅控制、波形ROM定制模块、波形数据产生模块。各模块的互连结构如图5.1所示

图5.1 FPGA设计整体互连结构

5.2时钟控制模块

CLK_IN

RESET EN

CLK_KEY

CLK_OUT

图5.2:时钟控制模块

其端口管脚描述如表5.2所示。

表5.2:时钟控制模块引脚描述

5.3 相位控制模块

RESET

EN

CLK_KEY PHASE_IN

PHASE_OUT

图5.3:相位控制模块

模块管脚描述如表5.3。

表5.3:相位控制模块引脚描述

5.4偏移控制模块

RESET

EN

CLK_KEY

IN_A

OUT

图5.4:偏移控制模块

模块端口描述如下表5.4所示。

表5.4:偏移控制模块引脚描述

5.5 波幅控制模块

该模块可调节输入波形信号的幅度,输出波幅调整后的信号。

Amplitude

[11:0]

[8:0]

RESET

EN

CLK_KEY

IN

OUT

表5.5:波幅控制模块

表格5.5:波幅控制模块引脚描述

引脚名称 类型 编号 功能描述 RESET Input PIN_1 复位 低电平复位 EN Input PIN_2 增量使能端 控制参数的增减

CLK_KEY Input PIN_3 偏移控制字 IN_A Input PIN_4 信号数据输入端 OUT

Output

PIN_5

波幅变化后数据输出

5.6 波形ROM 定制模块

存储正弦波波形数据,通过传送过来的地址,查找地址所对应的数据,并将数据读出。

图5.6:正弦波形ROM 定制

5.7波形数据产生模块

通过时钟控制和系统控制产生波形数据,然后输出。

CLK

RESET

DDS_DATA CTRL_DDS

图5.7:波形数据产生模块

表5.7:波形数据产生模块引脚描述

6.仿真

顶层模块仿真

ROM模块仿真

7.心得体会

刚刚接触并学习了一段时间的FPGA设计及硬件描述语言,更多的是模块化的知识,许多东西只是略微了解而不是深入理解,经过两周的课程设计,我从了解了FPGA设计的完整过程,并且亲自动手实践了整个过程。在实际操作过程中,会遇到各种各样的实际问题,一般都很细微,但往往都很致命,因为这个问题会将整个进度卡在那里。恰恰是这样,不得不逼迫自己迎难而上,去攻坚克难,不断尝试,不断失败,最终成功解决问题。无论是通过向老师、同学请教,还是自

己搜集资料摸着石头过河,随着问题的一点点解决,内心的成就感和喜悦都是难以言表的。真心希望,学校能多进行这种实践教学,让学生更多的尝试发现问题并解决问题的过程,因为这些都是书本上学不来的东西。

8.参考文献

《Verilog HDL 数字设计与综合(第二版)》夏宇闻电子工业出版社

《基于Verilog 的FPGA设计基础》杜慧敏西安电子科技大学出版社

《基于FPGA的数字系统设计》李辉西安电子科技大学出版社

9.附录

附件包括本设计的Verilog HDL描述,验证代码。

附件内容另附。

FPGA课程设计过程控制及成绩评定表

fpga数字钟课程设计报告

f p g a数字钟课程设计报告 Prepared on 24 November 2020

课程设计报告 设计题目:基于FPGA的数字钟设计 班级:电子信息工程1301 姓名:王一丁 指导教师:李世平 设计时间:2016年1月 摘要 EDA(Electronic Design Automation)电子设计自动化,是以大规模可编程器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,通过相关的软件,自动完成软件方式设计得电子系统到硬件系统,最终形成集成电子系统或专用集成芯片。本次课程设计利用Quartus II 为设计软件,VHDL为硬件描述语言,结合所学知识设计一个多功能时钟,具有显示年、月、日、时、分、秒显示,计时,整点报时,设定时间等功能。利用硬件描述语言VHDL 对设计系统的各个子模块进行逻辑描述,采用模块化的思想完成顶层模块的设计,通过软件编译、逻辑化简、逻辑综合优化、逻辑仿真、最终完成本次课程设计的任务。 关键词:EDA VHDL语言数字钟 目录 摘要 1 课程设计目的 2 课程设计内容及要求

设计任务 设计要求 3 VHDL程序设计 方案论证 系统结构框图 设计思路与方法 状态控制模块 时分秒模块 年月日模块 显示模块 扬声器与闹钟模块 RTL整体电路 4 系统仿真与分析 5 课程设计总结,包括.收获、体会和建议 6 参考文献 1 课程设计目的 (1)通过设计数字钟熟练掌握EDA软件(QUARTUS II)的使用方法,熟练进行设计、编译,为以后实际工程问题打下设计基础。 (2)熟悉VHDL 硬件描述语言,提升分析、寻找和排除电子设计中常见故障的能力。 (3)通过课程设计,锻炼书写有理论根据的、实事求是的、文理通顺的课程设计报告。

摄影作品课程设计报告书

专题摄影职业装与色彩作品 设计制作说明书 设计制作人:胡雯 班级 15媒体2 学号 2015145216 2016.9

一、本设计的目的和任务 通过本设计主要达到以下目的: 1、使学生进一步增强“摄影的具像特征和象征性特征”的感性认识,加深对摄影曝光、感光度、镜头景深、光圈快门等技术理论和方法的全面理解和掌握。 2、使学生全面掌握以数码照相机为主要器材手段进行摄影创作的流程和方法。尝试创作一组具有个性视角、和独特技术方法的“专题摄影作品”,并自我点评,作出恰当“命名”和“寓意说明”以备推荐到“相应级别摄影赛事”上参展。 通过本设计主要完成的任务: 使学生在课内20学时+课外20学时内(一周时间)完成一组具有个性视角、和独特技术方法的“摄影作品至少6幅”,并自我点评和作出恰当的“命名”和“寓意说明”,以备推荐参展。 二、完成本设计的“创意”准备和技术准备 (一)、选题说明和“创意”简介 1.选题说明 我的选题是“职业装与色彩”,例如我们看到橘色不难想到环卫工人,看到绿色不难想到军人,看到蓝色不难想到警察……所以我想以有代表色的职业装的为出发点,来表现不同职业的劳动人民的工作状态,以表达对劳动者的尊敬与感激之情。 2.“创意”简介 偶然在微博上看见了一段记录清朝长安街头的黑白影像,对比如今的生活,我觉得其中很大的一个变化就是我们现在的职业划分更加的明确并且管理的更加规范,不同种类,色彩各异的职业装的出现便能够说明这点,所以我选取了几种大众已经非常熟悉且有代表性的制服作为代表,来表现劳动者的工作状态。 3.附:选题创意策划分析报告表(最下) (二)、拍摄技术准备和计划 1.创作“摄影作品”的技术手段简述 1)摄影镜头的光学特性和景深原理的应用 景深是指镜头所能对应拍摄到的景物的清晰范围。景深原理可以从下图中得到分析。 影响景深的两个主要因素是光圈和焦距。 (1)光圈口径愈大,在感光片上的弥散圆愈大,所以得到的景深越小。

课程设计报告【模板】

模拟电子技术课程设计报告设计题目:直流稳压电源设计 专业电子信息科学与技术 班级电信092 学号 200916022230 学生姓名夏惜 指导教师王瑞 设计时间2010-2011学年上学期 教师评分 2010年月日

昆明理工大学津桥学院模拟电子技术课程设计 目录 1.概述 (2) 1.1直流稳压电源设计目的 (2) 1.2课程设计的组成部分 (2) 2.直流稳压电源设计的内容 (4) 2.1变压电路设计 (4) 2.2整流电路设计 (4) 2.3滤波电路设计 (8) 2.4稳压电路设计 (9) 2.5总电路设计 (10) 3.总结 (12) 3.1所遇到的问题,你是怎样解决这些问题的12 3.3体会收获及建议 (12) 3.4参考资料(书、论文、网络资料) (13) 4.教师评语 (13) 5.成绩 (13)

昆明理工大学津桥学院模拟电子技术课程设计 1.概述 电源是各种电子、电器设备工作的动力,是自动化不可或缺的组成部分,直流稳压电源是应用极为广泛的一种电源。直流稳压电源是常用的电子设备,它能保证在电网电压波动或负载发生变化时,输出稳定的电压。一个低纹波、高精度的稳压源在仪器仪表、工业控制及测量领域中有着重要的实际应用价值。 直流稳压电源通常由变压器、整流电路、滤波电路、稳压控制电路所组成,具有体积小,重量轻,性能稳定可等优点,电压从零起连续可调,可串联或关联使用,直流输出纹波小,稳定度高,稳压稳流自动转换、限流式过短路保护和自动恢复功能,是大专院校、工业企业、科研单位及电子维修人员理想的直流稳压电源。适用于电子仪器设备、电器维修、实验室、电解电镀、测试、测量设备、工厂电器设备配套使用。几乎所有的电子设备都需要有稳压的电压供给,才能使其处于良好的工作状态。家用电器中的电视机、音响、电脑尤其是这样。电网电压时高时低,电子设备本身耗供电造成不稳定因家。解决这个不稳定因素的办法是在电子设备的前端进行稳压。 直流稳压电源广泛应用于国防、科研、大专院校、实验室、工矿企业、电解、电镀、充电设备等的直流供电。 1.1直流稳压电源设计目的 (1)、学习直流稳压电源的设计方法; (2)、研究直流稳压电源的设计方案; (3)、掌握直流稳压电源的稳压系数和内阻测试方法。 1.2课程设计的组成部分 1.2.1 设计原理

FPGA设计的报告课程设计

FPGA课程设计 实 验 报 告

实验一:设计一个可控的100进制可逆计数器 一、实验要求 用DE2-115开发板下载。 (1)计数器的时钟输入信号周期为200ns。 (2)以十进制形式显示。 (3)有一个复位端clr和两个控制端plus和minus,在这些控制信号的作用 clr plus minus 功能 0 ××复位为0 1 1 0 递增计数 1 0 1 递减计数 1 1 1 暂停计数 二、关键词 可控制、可逆、100进制、复位、暂停、递增、递减 三、内容摘要 module updown_count(qout,reset,clk,plus,minus); output[7:0] qout;/*定义一个8位的输出,其目的是 低四位和高四位分别表示计数器的个位和十位。*/ input clk,plus,minus,reset;//定义四个输入,时钟,加计数,减计数和清零 reg[7:0] qout;//qout的数据类型为寄存器型 always @(posedge clk)//当clk上升沿到来时执行一遍下列程序 begin if(!reset) qout<=0;//当reset为低电平时,计数器执行清零功能,否则跳过else begin case({minus,plus})//case语句模块,包含加,减和暂停四个模块 2'b10: if (qout[3:0]==0)//判断个位是否为零,若不为零,跳到个位减一begin qout[3:0]<=9;//给个位赋值 if(qout[7:4]==0) qout[7:4]<=9;//判断十位是否为零,并且给十位赋值 else qout[7:4]<=qout[7:4]-1;//由于个位赋9,相当于向十位借一,因而十位减一end else qout[3:0]<=qout[3:0]-1;//个位减一 /*这一部分是减计数模块,其思路是:首先判断个位是否为零,若为零,则执行后面的程序,个位直接赋9,并且十位减一;否则个位减一*/ 2'b01: if (qout[3:0]==9)//判断个位是否为9,否则跳到个位加一begin

课程设计报告(模板)

《地震勘探课程设计》 报告 院系 班级 学生 学号 指导教师 完成日期2014年3月12日 长江大学工程技术学院

目录 一、课程设计目的 (3) 二、课程设计的容 (3) 三、课程设计原理 (3) 四、工区数据 (4) 五、课程设计步骤 (5) 1、建立工区 (5) 2、资料加载 (8) 3、层位标定和层位追踪 (10) 4、断层解释 (13) 5、构造图绘制 (14) 六、心得体会 (15)

一、课程设计目的 地震勘探解释课程设计是我们勘查技术与工程专业和资源勘查工程专业教学中的一个重要的实践性训练环节,通过上机实际操作,训练我们对地震资料进行常规构造解释的实际能力,最终使我们达到:学会利用地震解释软件来进行地震数据的加载,地震层位的标定,地震层位的追踪对比,在地震资料上分析和解释各种断层,以及地震构造图的编制方法。同时,还要学会综合地震地质资料对构造解释结果进行分析,进而对含油气有利地带进行评价和预测,最终编制成果报告。 二、课程设计的容 本次课程设计是理论联系实际的具体表现,是培养学生分析问题、解决问题能力的一个必不可少的环节,主要分为两部分:一、通过对地震资料解释软件Discovery的使用,追踪解释层位数据;二、通过surfer软件学习成图。使学生对地震常用的解释软件有一个初步的认识,能为毕业后从事地震勘探工作奠定良好的基础。地震解释课程设计是勘查技术与工程专业教学中的一个重要的实践性训练环节。通过实验主要训练学生对地震资料进行常规构造解释的实际能力,具体要使学生达到: 1.了解人机联作的基本知识; 2.初步学会地震解释软件的操作流程(工区建立、资料加载、合成记录制作、层位标定、层位追踪、断层解释、断点组合); 3. 进一步巩固和掌握地震资料解释的基本功; 4.初步学会地震成果的地质分析; 5.初步学会编写地震资料解释文字报告;

安徽工程大学课程设计报告撰写模板

封面 按学校发的封面模板填写相关信息; 起始时间:2011年6月13日~6月24日 设计报告书页数(一般20~30页之间) 电子版设计报告规定的格式用A4纸打印,正文中的任何部分不得写到纸的边框以外,亦不得随意接长或截短。汉字必须使用国家公布的规字。 页面设置:上3,下2.5,左3,右2;页眉2,页脚1.75。 行距采用单倍行距,标准字符间距。西文、数字等符号均采用Times New Roman字体。

任务书 主要是写明设计容和设计要求 例如,设计一个数字钟的任务书为:(具体根据题目拟定) Ⅰ设计题目 中文:多功能数字钟的设计 英文:Design of Multi-function Digital Clock Ⅱ设计功能要求 1、能正确显示时、分、秒(6位:HH:MM:SS); 2、要有总体复位开关; 3、能可靠校时、校分; 4、能整点报时(①59’56秒、59’57秒、59’58秒、59’59秒响0.5秒低音。②00’00 秒响1秒高音); 5、整个电路的控制开关要求在5个以; 6、秒信号发生器可以用555构成的电路产生; 7、能够设定一组闹钟功能,到了预设的时间,铃声响1分钟,在1分钟之可以用 按键停止闹铃。 Ⅲ设计任务容 1、学习与研究相关的《电子技术》理论知识,查阅资料,拿出可行的设计方案; 2、根据设计方案进行电路设计,完成电路参数计算、元器件选型、绘制电路原理 图; 3、进行电路软件仿真(如:Multisim 2001、EWB、Protel等),或制作实物进行调 试实验,获得实验数据,验证设计有效性。 4、撰写课程设计报告。 签名

设计题目(根据自己的设计题目) 摘要 摘要:独占一页; 摘要正文分三段写: 第一段:本设计的意义和完成的主要工作。——做什么?为啥做? 第二段:为了完成设计功能,你主要进行了哪些设计,怎么设计的。——怎么做? 第三段:设计结果如何,取得了哪些结论。——做的效果怎么样? 关键词:关键词1;关键词2;关键词3;关键词4(根据自己的设计题目)

课程设计设计说明书格式规范

课程设计设计说明书格式规范

课程设计设计说明书格式规范 一、课程设计设计说明书格式规范 装订成册的书面说明书和完整电子文档各一份,说明书统一采用A4纸打印,说明书格式如下,顺序为: (一)封面 (二)索命数正文,包括: 1、摘要(包括中文摘要和英文摘要): 分别为300字左右,应包括:工作目的、内容、结论、关键词 2、目录 以上部分以I、II……编制页码。以下部分根据章节编写序号和页码。 3、主体部分(不少于1 字,按要求设定页眉页角,要求居中) 主要包括引言或绪论、正文、结论、致谢,采用全角符号,英文和数字半角。每页28行、每行32-35个汉字,1.5倍行间距 3.1格式:主体部分的编写格式由引言(绪论)开始,以结论结束。主体部分必须由1页开始。一级标题之间换页,二级标题之间空行。 3.2序号 3.2.1毕业说明书各章应有序号,序号用阿拉伯数字编码,层

次格式为:1××××(三号黑体,居中)×××××××××××××××××××××× (内容用小四号宋体)。 1.1××××(小三号黑体,居左) ××××××××××××××××××××× (内容用小四号宋体)。 1.1.1××××(四号黑体,居左) ×××××××××××××××××××× (内容用小四号宋体)。 ①××××(用与内容同样大小的宋体) 1)××××(用与内容同样大小的宋体)a.××××(用与内容同样大小的宋体) 3.2.2说明书中的图、表、公式、算式等,一律用阿拉伯数字分别依序连编号编排序号。序号分章依序编码,其标注形式应便于互相区别,可分别为:图 2.1、表 3.2式(3.5)等 3.2.3说明书一律用阿拉伯数字连续编页码。页码由前言(或绪论)的首页开始,作为第1页,并为右页另页。题名页、摘要、目次页等前置部分可单独编排页码。页码必须统一标注每页页脚中部。力求不出空白页,如有,仍应以右页作为单页页码。 3.2.4说明书的附录依序用大写正体英文字母A、B、C……编序号,如:附录A。

数字图像处理课程设计报告

课程设计报告书课程名称:数字图像处理 题目:数字图像处理的傅里叶变换 学生姓名: 专业:计算机科学与技术 班别:计科本101班 学号: 指导老师: 日期: 2013 年 06 月 20 日

数字图像处理的傅里叶变换 1.课程设计目的和意义 (1)了解图像变换的意义和手段 (2)熟悉傅里叶变换的基本性质 (3)热练掌握FFT的方法反应用 (4)通过本实验掌握利用MATLAB编程实现数字图像的傅里叶变换 通过本次课程设计,掌握如何学习一门语言,如何进行资料查阅搜集,如何自己解决问题等方法,养成良好的学习习惯。扩展理论知识,培养综合设计能力。 2.课程设计内容 (1)熟悉并掌握傅立叶变换 (2)了解傅立叶变换在图像处理中的应用 (3)通过实验了解二维频谱的分布特点 (4)用MATLAB实现傅立叶变换仿真 3.课程设计背景与基本原理 傅里叶变换是可分离和正交变换中的一个特例,对图像的傅里叶变换将图像从图像空间变换到频率空间,从而可利用傅里叶频谱特性进行图像处理。从20世纪60年代傅里叶变换的快速算法提出来以后,傅里叶变换在信号处理和图像处理中都得到了广泛的使用。 3.1课程设计背景 数字图像处理(Digital Image Processing)又称为计算机图像处理,它是指将图像信号转换成数字信号并利用计算机对其进行处理的过程。是通过计算机对图像进行去除噪声、增强、复原、分割、提取特征等处理的方法和技术。 3.2 傅里叶变换 (1)应用傅里叶变换进行数字图像处理 数字图像处理(digital image processing)是用计算机对图像信息进行处理的一门技术,使利用计算机对图像进行各种处理的技术和方法。 20世纪20年代,图像处理首次得到应用。20世纪60年代中期,随电子计算机的发展得到普遍应用。60年代末,图像处理技术不断完善,逐渐成为一个新兴的学科。利用数字图像处理主要是为了修改图形,改善图像质量,或是从图像中提起有效信息,还有利用数字图像处理可以对图像进行体积压缩,便于传输和保存。数字图像处理主要研究以下内容:傅立叶变换、小波变换等各种图像变换;对图像进行编码和压缩;采用各种方法对图像进行复原和增强;对图像进行分割、描述和识别等。随着技术的发展,数字图像处理主要应用于通讯技术、宇宙探索遥感技术和生物工程等领域。

课程设计报告参考模板

课程设计报告参考模板 河海大学计算机及信息工程学院 课程设计报告 题目专业、学号授课班号学生姓名指导教师完成时间 课程设计任务书 Ⅰ、课程设计题目: Ⅱ、课程设计工作内容 一、课程设计目标1、培养综合运用知识和独立开展实践创新的能力; 2、…… 二、研究方法及手段应用 1、将任务分成若干模块,查阅相关论文资料,分模块调试和完成任务; 2、…… 三、课程设计预期效果 1、完成实验环境搭建; 2、…… 学生姓名:专业年级: 目录空一行。空一个中文字符行。“目录”,分页,居中,加黑宋体二号。前言………………………………………………………………………………………………1 第一章系统设计………………………………………………………………

…………………2 第一节课题目标及总体方案…………………………………………………………………..2 ……………… 目录正文,宋体小四号,倍行距。第二节…………………………….. ………………… 第二章实验结果及讨论 (5) ……………… 第三章结论 (10) ……………… 心得体会 (42) 河海大学本科课程设计报告 1、移动台 MS 二级标题“1、”,左对齐,加黑宋体小三号。移动台是公用GSM移动通信网中用户使用的设备,……………… NMCDPPSPCSSEMC OSSOMCMBTSSBTSBSCHLR/ MSC/VLRAUC BSSEIR NSSPSTNISDNPDN 图 GSM系统

组成 2、基站子系统 BSS 图编号及图名“图”,位于图下,居中。基站子系统BSS是GSM系统实现无线通信的关键组成部分。它通过无线接口直接与移动台通信,进行无线发送、无线接收及无线资源管理。另一方面,它通过与网络子系统NSS的移动业务交换中心,………………。 ⑴、基站收发信台 BTS 三级标题“⑴、”,左对齐,加黑宋体四号。基站收发信台BTS属于基站子系统BSS的无线部分,………………。①收发信台组成四级标题“①”,左对齐,加黑宋体小四号。 BTS包含有若干个收发信息单元TRX,而一个TRX有八个时隙,………………。●收发信息单元 五级标题“●”,左对齐,加黑宋体小四号。收发信息单元是………………。●其它辅助单元 辅助单元包括………………。②收发信台作用 收发信台的主要作用有………………。 ⑵、基站控制器 BSC 基站控制器BSC是基站子系统BSS的控制部分,………………。 3、网络交换子系统 NSS - 4 - 河海大学本科课程设计报告

FPGA课程设计报告

F P G A 课 程 设 计 报 告 学部:信息科学与技术学部 专业:通信工程 班级:10级1班 学号:100103011125 姓名:万洁 指导老师:祝宏 合作伙伴:张紫君 2012.12.13

一.《任务书》: 实验一100进制的可逆计数器(11——12周)实验二交通灯控制系统(15周) 实验三多功能数字钟系统(14-15周)二.实验书写格式: 一:题目要求 二:程序代码 三:操作步骤及运行结果截图 四:心得体会 三.实验附录: 一:老师提供的资源 二:关于实验所用EP4CE115F29板的简介

实验一100进制的可逆计数器 一、设计一个可控的100进制可逆计数器,要求用实验箱下载。 (1)计数器的时钟输入信号周期为200ns。 (2)以十进制形式显示。 (3)有一个复位端clr和两个控制端plus和minus,在这些控制信号的作用下,计数器具有复位、增或减计数、暂停功能。 clr plus minus 功能 0 ××复位为0 1 1 0 递增计数 1 0 1 递减计数 1 1 1 暂停计数 二、程序如下: module keni100(CLR,CLK,PLUS,MINUS,OUT); //100进制的可逆计数器 input CLR,PLUS,MINUS,CLK; output [7:0]OUT; reg [7:0]OUT; always@(posedge CLK) begin if(!CLR) //如果CLR为零,输出为零;反之,运行else程序 OUT[7:0]<=0; else

begin if(PLUS==0 && MINUS==1) //100进制的递减计数 begin if (OUT[3:0]==0) begin OUT[3:0]<=9; if (OUT[7:4]==0) OUT[7:4]<=9; else OUT[7:4]<=OUT[7:4]-1; end else OUT[3:0]<=OUT[3:0]-1; end if(PLUS==1 && MINUS==0) //100进制的递增计数 begin if (OUT[3:0]==9) begin OUT[3:0]<=0; if (OUT[7:4]==9) OUT[7:4]<=0; else OUT[7:4]<=OUT[7:4]+1; end else OUT[3:0]<=OUT[3:0]+1; end if(PLUS==1 && MINUS==1) OUT<=OUT; //若PLUS和MINUS都为1,暂停计数 if(PLUS==0 && MINUS==0) OUT<=0; //若都为零,输出为零end end endmodule 三、运行程序 1、在quarters II9.1输入程序 打开quarters II界面,点击file→New,在出现的对话框,如图1.1所示,选择Text File,点击OK.

CAD课程设计报告书

目录 一、课程设计任务书 (1) 二、项目说明 (2) 三、配电工程图的绘制 (3) 1、图层、线型、文字等基本绘图环境的设置及绘图模板的绘制 2、主要结构尺寸及尺寸配合的确定。 3、问题及讨论。 四、心得体会 (4) 五、配电工程图 (5) 六、参考文献附 (6)

一、设计任务书 设计目的: 熟悉Auto CAD设计软件通过本课程的学习,使学生掌握CAD绘图软件的使用方法和技巧,在时间学习中逐步提高应用水平,并能应用CAD绘图软件进行供配电系统断路器设计。通过绘制供配电系统断路器设计巩固并能综合运用已学过的CAD绘图软件的有关知识,增强计算机辅助绘图的能力,使学生掌握电气设计的基本原则和方法,掌握查阅文献、收集资料、分析计算、综合论证、设计制图、数据处理等多方面的基本技能。掌握优化设计的方法、步骤。掌握变电站设计性能参数及结构掌握AutoCAD2010的常用绘图工具的使用掌握AutoCAD2010的常用编辑工具的使用 设计内容要求: 变电站是电力系统的重要组成部分,是联系发电厂和用户的中间环节。它起着变换和分配电能的作用。变电站的设计必须从全局利益出发,正确处理安全与经济基本建设与生产运行。近期需要与今后发展等方面的联系,从实际出发,结合国情采用中等适用水平的建设标准,有步骤的推广国内外先进技术并采用经验鉴定合格的新设备、新材料、新结构。根据需要与可能逐步提高自动化水平。变电站电气主接线指变电站的变压器、输电线路怎样与电力系统相连接,从而完成输配电任务,变电所的主接线是电力系统接线组成中的一个重要组成部分。一次主接线的设计将直接影响各个不同电压侧电气设备的总体布局,并影响各进出线的安装间隔分配,同时还对变电所的供电可靠性和电气设备运行、维护的方便性产生很大的影响。主接线方案一旦确定,各进出线间和电气设备的相对位置便固定下来,所以变电所的一次主接线是电气设计的首要部分 1、辉县北郊变电站施工图10kv进线柜二次进线图 2、辉县北郊变电站主变保护柜端子排图 3、辉县北郊变电站主变保护柜电气布置图 4、辉县北郊变电站主变保护原理图

课程设计报告书

课程设计报告书题目《数字插画》插画设计 姓名陶兴

学号 14 专业年级 2013级视觉传达7班 指导教师邹梅 2015年1月10日 目录 引言 (1) 一选题目的与意义 (1) 1、目的 (1) 2、意义 (1) 二课题分析与定位 (1) 1、数字插画的起源 (1) 2.数字插画的功能 (2) 三课题展开与设计 (2) 1、数字插画的表现形式 (2) 2、数码插画的分类 (3) 3、数字插画的风格 (3) 四课题创作过程 (4) 五作品展示 (6) 六结论 (8) 参考文献 (8) 附录 (9) 引言 在现代设计领域中,插画设计可以说是最具有表现意味的,它与绘画艺术有着亲近的血缘关系。插画艺术的许多表现技法都是借鉴了绘画艺术的表现技法。插画艺术与绘画艺术的联姻使得前者无论是在表现技法多样性的探求,或是在设计主题表现的深度和广度方面,都有着长足的进展,展示出更加独特的艺术魅力,从而更具表现力。从某种意义上讲,绘画艺术成了基础学科,插画成了应用学科。纵观插画发展的历史,其应用

范围在不断扩大。特别是在信息高速发达的今天,人们的日常生活中充满了各式各样地商业信息,插画设计已成为现实社会不可替更好地为社会服务,是我们此次学习的目的所在。 一、选题的目的与意义 1、目的 了解数字插画的起源发展和其在现代社会中的应用与前景。 2、意义 数字插画在现代社会中的作用与应用越来越广泛,其在社会中的作用也越来越重要,了解数字插画对我们以后的学习有着很强的指导作用。 二课题分析与定位 1、数字插画的起源 插画在中国被人们俗称为插图。今天通行于国外市场的商业插画包括出版物插图、卡通吉祥物、影视与游戏美术设计和广告插画4种形式。实际在中国,插画已经遍布于平面和电子媒体、商业场馆、公众机构、商品包装、影视演艺海报、企业广告甚至T 恤、日记本、贺年片。 商业插画:为企业或产品绘制插图,获得与之相关的报酬,作者放弃对作品的所有权,只保留署名权的商业买卖行为。 插画最发达的地方:美国是插画市场非常发达的国家,欣赏插画在社会上已经成为一种习惯。一方面有大量独立的插画产品在终端市场上出售,比如插画图书、杂志、插画贺卡等等。另一方面插画作为视觉传达体系(平面设计、插画、商业摄影)的一部分,广泛地运用于平面广告、海报、封面等设计的内容中。美国的插画市场还非常专业化,分成儿童类、体育类、科幻类、食品类、数码类、纯艺术风格类、幽默类等多种专业类型,每种类型都有专门的插画艺术家。整个插画市场非常规范,竞争也很激烈,因为插画艺术家的平均收入水平是普通美国人平均收入的三倍。 插画在日本、韩国、香港和台湾:众所周知,日本的商业动漫已经有了庞大的市场和运作队伍。而动漫是插画产业的一个重要分支。在CG技术(Computer Graphics,利用计算机技术进行视觉设计和生产)进入插画领域之前,靠手工绘制的动画就已经成了日本的朝阳产业。今天的年轻一代则越来越倾向于使用电脑数码技术。而在韩国,随着

天津大学机械制造技术基础课程设计报告书

机械制造技术基础课程设计报告书 学院机械工程 专业机械设计制造及其自动化 年级 班级组别 小组成员 指导教师 2014年 10 月 10 日

机械制造技术基础课程设计任务书

目录 第一章零件分析 1.1 零件的作用 (1) 1.2 零件的工艺分析 (1) 1.3 确定零件生产类型 (1) 第二章确定毛坯类型绘制毛坯简图 2.1选择毛坯 (2) 2.2确定毛坯尺寸公差和机加工余量 (2) 2.3绘制拨叉毛坯简图 (3) 第三章工艺规程设计 3.1定位基准的选择 (4) 3.2拟定工艺路线 (4) 3.3工序尺寸及公差确定 (6) 3.3切削用量的确定 (7) 第四章机床夹具设计 4.1定位及加紧机构设计 (8) 4.2切削力及夹紧力计算 (11) 4.3夹紧元件的强度校核 (13) 4.4定位误差分析 (14) 参考文献.................................................... .. (18)

附 录 .................................................... . (19)

第一章零件分析 1.1 零件的作用 题目所给的零件是拨叉。它位 于变速机构中,主要起换档、使主轴 回转运动按照工作者的要求工作,获 得所需的速度和扭矩的作用。零件上 方的φ20H7孔与操纵机构相连,并用 螺钉经M5孔与变速叉轴连接。拨叉脚 则夹在双联变换齿轮的槽中。当需要 变速时,操纵变速杆,变速操纵机构 就通过拨叉头部的操纵槽带动拨叉与 变速叉轴一起在变速箱中滑移,通过 连接装置改换档位,实现变速。 1.2 零件的工艺分析 零件的材料为QT400,球墨铸铁 是通过球化和孕育处理得到球状石 墨,有效地提高了铸铁的机械性能, 特别是提高了塑性和韧性,从而得到 比碳钢还高的强度。 该拨叉零件叉轴孔Φ20H7 mm的 轴线是拨叉脚两端面和螺纹孔M5的设 计基准,拨叉头左端面是拨叉轴向方 向上尺寸设计基准。选用叉轴孔Φ20H7 mm的轴线和拨叉头左端面作为精基准。选用叉轴孔Φ20H7 mm的轴线和拨叉头左端面作为精基准定位加工拨叉脚两端面和螺纹孔M5,实现了设计基准和工艺基准重合,保证了被加工表面的垂直度要求。另外,由于拨叉件刚性较差,受力易发生弯曲变形,选用拨叉头左端面作为精基准,夹紧力作用在插头的右端面上,可以避免在机械加工中产生夹紧变形, mm的尺寸要求,所以选择拨叉脚左端面作为粗基准。夹紧稳定可靠。由于有50 2.0 采用Φ32 mm的外圆面定位加工内孔尅保证孔的壁厚均匀;采用拨叉脚左端面作为粗基准加工左端面,可以为后续工序准备好精基准。 该拨叉的加工质量要求较高,可将加工阶段分为粗加工、半精加工和精加工几个阶段。在粗加工阶段,首先用车床将精基准(拨叉头左端面和叉轴孔)准备好,因为车床可以一次性将两个精基准都加工出来,从而提高了精度,也为使后续工序都采用精基准定位加工,保证其他加工表面的精度要求;由于精度要求不高,故而粗铣,半精铣拨叉脚左右端面、拨叉脚内表面、螺纹孔端面,最后完成M5螺纹孔的加工,这也体现了工序集中的原则。 1.3 确定零件生产类型 由零件图示的生产批量200件可知,该零件为单件小批量生产。

课程设计报告书正文标准格式

课 程 设 计 报 告 书 专 用 纸 1 引言(或绪论)(可作为正文第1章标题,用小3号黑体,加粗, 并留出上下间距为:段前0.5行,段后0.5行) ×××××××××(小4号宋体,20磅行距)××××××××××××××××××××××××××××××………… 1.1 ××××××(作为正文2级标题,用4号黑体,加粗) ×××××××××(小4号宋体,20磅行距)×××××××××××××××××××××××××××××××………… 1.1.1 ××××(作为正文3级标题,用小4号黑体,不加粗) ×××××××××(小4号宋体,20磅行距)×××××××××××××××××××××××××××………… 2 ×××××××(作为正文第2章标题,用小3号黑体,加粗, 并留出上下间距为:段前0.5行,段后0.5行) ×××××××××(小4号宋体,20磅行距)×××××××××××××××××××××××××××××××××××………… ×××××××××××××××××××………… ………… 注:1.正文中表格与插图的字体一律用5号宋体; 2.正文各页的格式请以此页为标准复制。 请留出一个汉字的空间,下同

报告中的内容仅为参考字体格式,与本次设计无关! 题目 内部排序教学软件。 1需求分析和说明 内部排序教学软件的总体目标:在TURBO C2.0 的开发环境下,利用所学C语言和数据结构的相关知识,开发一个具有良好人机界面的内部排序教学软件,实现各种内部排序,并能使用户通过其显示结果对每种排序方法的性能有一个直观的了解,从而达到教学的目的。 1.1基本要求 (1)界面友好,易与操作。采用菜单或其它人机对话方式进行选择。 (2)实现各种内部排序。包括冒泡排序,直接插入排序,直接选择排序,希尔排序,快速排序,堆排序。 (3)待排序的元素的关键字为整数。可用随机数据和用户输入数据作测试比较。比较的指标为有关键字参加的比较次数和关键字的移动次数(关键字交换以3次计)。 (4)演示程序以人机对话的形式进行。每次测试完毕显示各种比较指标 的列表,以便比较各种排序的优劣。 1.2各功能模块的功能描述: 1.主函数模块 本模块的主要功能是初始化图形界面,调用各模块,实现软件功能。 2.排序功能及输出子模块 本模块的主要功能是根据用户的选择进行数组的创建,并对输入数据或者随机产生的数据使用六种排序方法进行排序并统计每种方法的移动次数和比较次数,然后输出以表格形式输出。 3.封面和结束画面子模块 本模块的主要功能是当用户打开本软件时模拟软件加载,延时数秒后关闭,并进入图形界面,在用户退出软件时显示结束画面,延时数秒后关闭程序。 4.图形界面子模块 本模块的主要功能是根据用户的选择显示不同的画面,引导用户使用软件所提供的各种功能,并在用户提供必要数据,并选择排序功能时调用排序模块,并显示结果画面。 5.输入子模块 本模块的主要功能是进行光标定位,输出提示文字,并对用户输入数据进行处理,创建数组。 6.鼠标实现模块 本模块的主要功能是为用户提供鼠标操作支持,使用户能使用鼠标进行功能的选择。2详细设计

FPGA课程设计题目

1、彩灯控制器设计 内容及要求: 设计一个彩灯控制器,具体设计要求如下: (1)要有多种花型变化(至少设计5种),led至少16路 (2)多种花型可以自动变化 (3)彩灯变换的快慢节拍可以选择 (4)具有清零开关 (5)完成全部流程:设计规范文档、模块设计、代码输入、仿真、下载验证等,最后就课程设计本身提交一篇课程设计报告。 2、数字秒表设计 内容及要求: 设计一用于体育比赛的数字秒表,具体设计要求如下: (1)6位数码管显示,其中两位显示min,四位显示see,显示分辨率为0.01 s。 (2)秒表的最大计时值为59min59.99see。 (3)设置秒表的复位/启动键,按一下该键启动计时,再按即清0。依此循环。 (4)设置秒表的暂行/继续键。启动后按一下暂行,再按继续。依此循环。 (5)完成全部流程:设计规范文档、模块设计、代码输入、仿真、下载验证等,最后就课程设计本身提交一篇课程设计报告。 3、交通信号控制系统设计 内容及要求: 设计一个十字路口交通控制系统,具体设计要求如下: (1)东西(用A表示)、南北(用B表示)方向均有绿灯、黄灯、红灯指示,其持续时间分别是40秒、5秒和45秒, 交通灯运行的切换示意图和时序图分别如图1、图2所示。 (2)系统设有时钟,以倒计时方式显示每一路允许通行的时间。 (3)当东西或南北两路中任一路出现特殊情况时,系统可由交警手动控制立即进入特殊运行状态,即红灯全亮,时钟停止计时,东西、南北两路所有车辆停止通行;当特殊运行状态结束后,系统恢复工作,继续正常运行。 图1 交通灯运行切换示意图

B红 CP A绿 A黄 A红 B黄 B绿 5S 5S 图2 交通灯时序图 (4)完成全部流程:设计规范文档、模块设计、代码输入、仿真、下载验证等,最后就课程设计本身提交一篇课程设计报告。 4、简易密码锁设计 内容及要求 设计一个4位串行数字锁。 (1)开锁代码为4位二进制,当输入代码的位数与锁内给定的密码一致,且按规定程序开锁时,方可开锁,并点亮一个指示灯。否则进入“错误”状态,并发出报警信号。 (2)锁内的密码可调,且预置方便,保密性好。 (3)串行数字锁的报警由点亮一个灯,直到按下复位开关,报警才停下。此时,数字锁又自动等待下一个开锁状态。 (4)完成全部流程:设计规范文档、模块设计、代码输入、仿真、下载验证等,最后就课程设计本身提交一篇课程设计报告。 5、出租车计价器设计 内容及要求 (1)设一个出租车自动计费器,计费包括起步价、行驶计费和等待计费三个部分,用4个数码管显示出金额数目,最大值为999.9元,最小计价单位为0.1元。行驶里程在3公里范围内且等待时间未超过三分钟时按起步价8元计费;行驶里程超过三公里后按每公里2元收费;等待时间超过三分钟后按每分钟1元收费。等待时间用两个数码管显示,最大值为59分钟。 总费用=起步价+(里程-3km )*里程单价+(等待时间-3)*等候单价 (2)能够实现的功能: 显示汽车行驶里程:用四位数字显示,单位为km 。 计程范围为0~99km ,计程分辨率为1km 。 显示等候时间:用两位数字显示分钟,单位为min 。计时范围为0~59min ,计时分辨率为1min 。

java课程设计报告书

《Java程序设计》课程设计报告 2015—2016学年第二学期 设计题目:《数字连连看》 学生:黄杰 学号: 2 专业班级:软件工程1402 指导教师:国权 2016 年 7 月 2 日 目录 1.JAVA程序设计书 3 1.1《简易连连看》3 1.1.1具体要求 3 1.2本系统设计的知识点 3

2.功能设计 3 2.1算法设计 3 2.2部分模块流程图 4 3.代码设计 5 4.界面及运行效果,测试工具与测试效果14 5.设计总结 16 5.1.程序调试情况:16 5.2.本人在程序设计中感想: 16 6.致 16 主要参考文献16

: 1.1Java程序课程设计任务书 1.1《简易连连看游戏》 本程序基本实现了小游戏连连看的功能,玩家找出游戏中2个相同图案的方块,如果它们之间的连接线不多于3根直线,则将其连接起来,就可以成功将图案相同的方块消除,否则不会消失,当游戏中已没有满足条件的图案时,点击重列,可重新排序,游戏结束会跳出所得分数,该游戏的特点是与自己竞争,超过自己之前所创纪录。 1.1.1具体要求(包括技术要求等): <1>. 该游戏界面为方格类型,由纵6横7的直线平行垂直交叉组成,分别是6行5列方块拼接,共有30格小方块。方块上随机分布一些数字,数字的要至少两两相同,位置随机打乱。 <2>. 当将相同数字的方块连接,但要满足只能至少单边无阻碍呈直线趋势连接,否则无效,若连接一对成功就消失于界面,继续游戏,直到游戏结束,并能返回所得分数。 <3>. 重列按钮(帮助)的功能:游戏过程中,遇到困难难以寻找下一符合要求的一对数字,可按左下按钮重置重新排列方可继续游戏。 <4>. 退出按钮:击左下方的“退出游戏”按钮,即可结束游戏。 1.2本系统涉及的知识点: 循环,javaGUI组件,函数,数组,分支等 2.功能设计 2.1算法设计 本系统需要实现的功能要求: 图一,数字按钮功能模块 图二,三个功能按钮模块

单片机课程设计报告书模板

. .. . .. .. 西南科技大学 2011级微机原理与接口技术 课程设计报告 课题名称微机原理与接口技术 姓名 学号 院、系、部制造科学与工程学院 专业 指导教师 2014年月日

目录 一、绪言 (1) 二、系统设计 (1) 2.1系统整体流程图 (1) 2.2日历时钟的控制方案论证 (1) 2.3单片机的选择方案论证 (2) 2.4键盘选择方案论证 (2) 2.5显示模块的选择方案论证 (2) 2.6模块的选择方案论证 (2) 三、硬件电路设计 (2) 3.1日历时钟的控制电路图 (2) 3.2行列式键盘的设计 (3) 3.3数码管显示电路的设计 (3) 3.4蜂鸣器驱动电路的设计 (4)

3.5主要元器件选择 (4) 四、程序流程图 (5) 五、c语言程序设计 (5) 六、日历时钟的控制器仿真 (19) 6.1K e i l调试 (19) 6.2P r o t e u s调试 (19) 七、结束语 (20) 八、参考文献 (21) 1、绪言 近年来随着科技的飞速发展,单片机的应用正在不断地走向深入,同时带动传统控制检测日新月异更新。在实时检测和自动控制的单片机应用系统中,单片机往往是作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构,以及针对具体应用对象特点的软件结合,加以完善。电子时钟是现代社会中的主要计时工具之一,广泛应用于手机,电脑,汽车等社会生活需要的各个方面,及对时间有要求的场合。本设计采用AT89C51单片机作为主要核心部件,附以上电复位电路,时钟电路及按键调时电路组成。数字钟已成为人们日常生活中:必不可少的必需品,广泛用于个人家庭以及车站、码头、剧场、办公室等公共场所,给人们的生活、学习、工作、娱乐带来极大的方便。由于数字集成电路技术的发展和采用了先进的石英技术,使数字钟具有走时准确、性能稳定、携带方便等优点,它还用于计时、自动报时及自动控制等各个领域。

网页设计课程设计报告书

武汉理工大学华夏学院课程设计报告书 课程名称:网页设计 题目:俄罗斯方块游戏 系名:信息工程系 专业班级: 姓名: 学号: 指导教师: 2016 年1月14 日

课程设计任务书 课程名称:网页设计课程设计指导教师:苏永红 班级名称:软件1131 开课系、教研室:软件与信息安全一、课程设计目的与任务 网页设计与制作课程设计是《网页设计与制作》课程的后续实践课程,旨在通过一周的实践训练,加深学生对网页设计中的概念,原理和方法的理解,加强学生综合运用HTML、CSS、JavaScript、asp网页设计技术进行实际问题处理的能力,进一步提高学生进行分析问题和解决问题的能力,包含系统分析、系统设计、系统实现和系统测试的能力。 学生将在指导老师的指导下,完成从需求分析,系统设计,编码到测试的全过程。 二、课程设计的内容与基本要求 1、课程设计题目 俄罗斯方块游戏的设计与实现 2、课程设计内容 俄罗斯方块游戏是一个单击休闲小游戏。在俄罗斯方块的游戏界面中,有一组正在“下落”的方块(通常有4个,组成各种不同的形状),游戏玩家需要做的事情就是控制正在“下落”的方块的移动,将这组方块摆放到合适的位置。只要下面“某一行”全部充满方块,没有空缺,那么这行就可以“消除”,上面的所有“方块”会“整体掉下来”。 对于HTML5、JavaScript学习者来说,学习开发这个小程序难度适中,而且能很好地培养学习者的学习乐趣。开发者需要从程序员的角度来看待玩家面对的游戏界面,游戏界面上的每个方块(既可以涂上不同的颜色,也可以绘制不同图片)在底层只要使用一个数值标识来代表即可,不同的方块使用不同的数值标识。 开发俄罗斯方块游戏除了需要理解游戏界面的数据模型之外,开发者还需要理解为游戏添加监听方法,通过事件监听可以监控玩家的按键动作,当玩家按下不同按键时,程序控制正在“下落”的方块移动或旋转。本程序稍微有点复杂的地方是,当方块组合旋转时,开发

图像处理课程设计报告

图像处理课程设计报告 导语:设计是把一种设想通过合理的规划周密的计划通过各种感觉形式传达出来的过程。以下是XX整理图像处理课程设计报告的资料,欢迎阅读参考。 图像处理课程设计报告1 摘要:图像处理技术从其功能上可以分为两大类:模拟图像处理技术、和数字图像处理技术。数字图像处理技术指的是将图像信号直接转换成为数字信号,并利用计算机进行处理的过程,其主要的特点在于处理的精度高、处理的内容丰富、可以进行复杂、难度较高的处理内容。当其不在于处理的速度比较缓慢。当前图像处理技术主要的是体现在数字处理技术上,本文说阐述的图像处理技术也是以数字图像处理技术为主要介绍对象。数字图像处理又称为计算机图像处理,它是指将图像信号转换成数字信号并利用计算机对其进行处理的过程。近年来, 图像处理技术得到了快速发展, 呈现出较为明显的发展趋势, 了解和掌握这些发展趋势对于做好目前的图像处理工作具有前瞻性的指导意义。本文总结了现代图像处理技术的三点发展趋势。 对图像进行处理(或加工、分析)的主要目的有三个方面: (1)提高图像的视感质量,如进行图像的亮度、彩色变换,增强、抑制某些成分,对图像进行几何变换等,以改善图像的质量。(2)提取图像中所包含的某些特征或特殊信息,这些被提

取的特征或信息往往为计算机分析图像提供便利。提取特征或信息的过程是计算机或计算机视觉的预处理。提取的特征可以包括很多方面,如频域特征、灰度或颜色特征、边界特征、区域特征、纹理特征、形状特征、拓扑特征和关系结构等。 (3)图像数据的变换、编码和压缩,以便于图像的存储和传输。不管是 何种目的的图像处理,都需要由计算机和图像专用设备组成的图像处理系统对图像数据进行输入、加工和输出。 数字图像处理主要研究的内容有以下几个方面: 图像变换由于图像阵列很大,直接在空间域中进行处理,涉及计算量很大。因此,往往采用各种图像变换的方法,如傅里叶变换、沃尔什变换、离散余弦变换等间接处理技术,将空间域的处理转换为变换域处理,不仅可减少计算量,而且可获得更有效的处理。目前新兴研究的小波变换在时域和频域中都具有良好的局部化特性,它在图像处理中也有着广泛而有效的应用。 图像编码压缩图像编码压缩技术可减少描述图像的数据量,以便节省图像传输、处理时间和减少所占用的存储器容量。压缩可以在不失真的前提下获得,也可以在允许的失真条件下进行。编码是压缩技术中最重要的方法,它在图像处理技术中是发展最早且比较成熟的技术。

相关主题
文本预览
相关文档 最新文档